[BEGIN] 3/28/2015 9:25:33 PM SW#sh tech supp SW#sh tech s SW#sh tech SW#sh tech-support ------------------ show clock ------------------ 21:25:41.941 GMT Sat Mar 28 2015 ------------------ show version ------------------ Cisco IOS Software, Catalyst 4500 L3 Switch Software (cat4500e-LANBASEK9-M), Version 15.1(2)SG5, RELEASE SOFTWARE (fc1) Technical Support: http://www.cisco.com/techsupport Copyright (c) 1986-2014 by Cisco Systems, Inc. Compiled Mon 03-Nov-14 09:04 by prod_rel_team ROM: 12.2(44r)SG5 SW uptime is 4 hours, 41 minutes System returned to ROM by reload System restarted at 16:43:51 GMT Sat Mar 28 2015 System image file is "bootflash:cat4500e-lanbasek9-mz.151-2.SG5.bin" Darkside Revision 4, Nexu Revision 9, Fortooine Revision 1.40 Last reload reason: reload This product contains cryptographic features and is subject to United States and local country laws governing import, export, transfer and use. Delivery of Cisco cryptographic products does not imply third-party authority to import, export, distribute or use encryption. Importers, exporters, distributors and users are responsible for compliance with U.S. and local country laws. By using this product you agree to comply with applicable laws and regulations. If you are unable to comply with U.S. and local laws, return this product immediately. A summary of U.S. laws governing Cisco cryptographic products may be found at: http://www.cisco.com/wwl/export/crypto/tool/stqrg.html If you require further assistance please contact us by sending email to export@cisco.com. cisco WS-C4503-E (MPC8548) processor (revision 8) with 524288K bytes of memory. Processor board ID SPE135100L1 MPC8548 CPU at 1GHz, Supervisor 6L-E Last reset from Reload 2 Virtual Ethernet interfaces 52 Gigabit Ethernet interfaces 2 Ten Gigabit Ethernet interfaces 511K bytes of non-volatile configuration memory. Configuration register is 0x2101 ------------------ show running-config ------------------ Building configuration... Current configuration : 25270 bytes ! ! No configuration change since last restart ! version 15.1 no service pad service timestamps debug datetime msec localtime service timestamps log datetime msec localtime service password-encryption service compress-config ! hostname SW ! boot-start-marker boot system flash cat4500e-lanbasek9-mz.151-2.SG5.bin boot-end-marker ! ! vrf definition mgmtVrf ! address-family ipv4 exit-address-family ! address-family ipv6 exit-address-family ! logging buffered 24000 enable secret 5 ! username lmsconfig privilege 15 password 7 aaa new-model ! ! aaa authentication login default local enable aaa authentication enable default enable aaa authentication dot1x default group radius aaa authorization exec default local aaa authorization network default group radius ! ! ! ! ! aaa session-id common clock timezone GMT 1 0 clock summer-time GMT+2 recurring last Sun Mar 2:00 last Sun Oct 2:00 hw-module module 1 port-group 1 select gigabitethernet hw-module module 1 port-group 2 select gigabitethernet udld enable vtp domain LG vtp mode transparent no ip routing ! ! ! ! ! ! dot1x system-auth-control power redundancy-mode redundant ! ! ! ! ! spanning-tree mode pvst spanning-tree extend system-id ! vlan internal allocation policy ascending ! vlan 11 ! vlan 126 ! vlan 214 ! vlan 1311 ! vlan 1941 ! vlan 2901 ! vlan 2906 ! vlan 2907 ! vlan 2908 ! vlan 2923 ! ! ! ! ! ! ! interface Port-channel1 switchport switchport mode trunk ! interface FastEthernet1 vrf forwarding mgmtVrf no ip address no ip route-cache speed auto duplex auto ! interface TenGigabitEthernet1/1 ! interface TenGigabitEthernet1/2 ! interface GigabitEthernet1/3 description Uplink.X97 switchport mode trunk channel-group 1 mode on ! interface GigabitEthernet1/4 description Uplink.X97 switchport mode trunk channel-group 1 mode on ! interface GigabitEthernet1/5 ! interface GigabitEthernet1/6 ! interface GigabitEthernet2/1 description DV3.U1.V1.2.E13 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/2 description V1.2.B03 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/3 description V1.2.B06 switchport access vlan 11 switchport mode access switchport voice vlan 1311 switchport port-security maximum 2 switchport port-security switchport port-security mac-address sticky switchport port-security mac-address sticky 0018.fea3.e07b spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/4 description V1.2.B01 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/5 description V1.2.B19 switchport access vlan 11 switchport mode access switchport voice vlan 1311 switchport port-security maximum 2 switchport port-security switchport port-security mac-address sticky switchport port-security mac-address sticky 0020.6b64.8d90 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/6 description V1.2.B16 switchport access vlan 126 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/7 description V1.2.B15 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/8 description Video-BF3.X98 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/9 description V1.2.E08 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/10 description DV.3.U1.V1.2.B05 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/11 description V1.2.B04 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/12 description VG-5086.X99 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/13 description Video-BF3.X98 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/14 description V1.2.B13 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/15 description DV.3.U1.V1.2.F05 switchport access vlan 2923 switchport mode access spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/16 description V1.2.E05 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/17 description V1.2.C11 switchport access vlan 11 switchport mode access switchport voice vlan 1311 switchport port-security maximum 2 switchport port-security switchport port-security mac-address sticky switchport port-security mac-address sticky 0012.3f84.d5da spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/18 description V1.2.A06 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/19 description V1.2.A17 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/20 description V1.2.A08 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/21 description V1.2.A19 switchport access vlan 126 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/22 description V1.2.A18 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/23 description V1.2.A20 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/24 description U1.V1.2.B12 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/25 description V1.2.B11 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/26 description V1.2.A05 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/27 description Video-BF3.X98 switchport access vlan 2907 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/28 description DV.3.U1.V1.2.B09 switchport mode access switchport voice vlan 1311 authentication event fail action authorize vlan 2901 authentication event no-response action authorize vlan 2901 authentication host-mode multi-domain authentication order dot1x mab authentication priority dot1x mab authentication port-control auto authentication periodic authentication violation restrict mab dot1x pae authenticator dot1x timeout quiet-period 10 dot1x timeout tx-period 3 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/29 description V1.2.C08 switchport access vlan 11 switchport mode access switchport voice vlan 1311 switchport port-security maximum 2 switchport port-security switchport port-security mac-address sticky switchport port-security mac-address sticky 000a.5e61.0250 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/30 description Video-BF3.X98 switchport access vlan 2907 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/31 description Video-BF3.X98 switchport access vlan 2907 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/32 description Video-BF3.X98 switchport access vlan 2907 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/33 description Video-BF3.X98 switchport access vlan 2907 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/34 description Video-BF3.X98 switchport access vlan 2907 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/35 description Video-BF3.X98 switchport access vlan 2907 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/36 description Video-BF3.X98 switchport access vlan 2907 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/37 description DV.3.U1.V1.2.F01 switchport access vlan 2923 switchport mode access spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/38 description Video.BF3 switchport access vlan 2907 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/39 description DV.3.U1.V1.2.F02 switchport access vlan 2923 switchport mode access spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/40 description DV.3.U1.V1.2.F03 switchport access vlan 2923 switchport mode access spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/41 description V1.2.C17 switchport access vlan 11 switchport mode access switchport voice vlan 1311 switchport port-security maximum 2 switchport port-security switchport port-security mac-address sticky switchport port-security mac-address sticky 0019.99ab.a386 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/42 description DV.3.U1.V1.2.F04 switchport access vlan 2923 switchport mode access spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/43 description V1.2.B20 switchport access vlan 11 switchport mode access switchport voice vlan 1311 switchport port-security maximum 2 switchport port-security switchport port-security mac-address sticky switchport port-security mac-address sticky 00e0.8180.1218 switchport port-security mac-address sticky 0026.99f0.39bc vlan voice spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/44 description DV3.U1.V1.2.B02 switchport access vlan 11 switchport mode access switchport voice vlan 1311 switchport port-security maximum 2 switchport port-security switchport port-security mac-address sticky switchport port-security mac-address sticky 0014.3888.afd0 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/45 description Zutritt.X98 switchport access vlan 2906 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/46 description Zutritt.X98 switchport access vlan 2906 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/47 description Zutritt.X98 switchport access vlan 2906 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface GigabitEthernet2/48 description Video-BF3.X98 switchport access vlan 2906 switchport mode access switchport voice vlan 1311 spanning-tree portfast spanning-tree bpdufilter enable spanning-tree bpduguard enable ! interface Vlan1 no ip address no ip route-cache ! interface Vlan1941 ip address 20.199.41.15 255.255.255.0 no ip route-cache ! ip default-gateway 20.199.41.1 no ip http server no ip http secure-server ! ! logging trap debugging logging host 20.199.30.37 logging host 72.22.66.1 logging host 72.25.16.25 ! snmp-server community RO snmp-server community RO snmp-server community RW snmp-server enable traps snmp authentication linkdown linkup coldstart warmstart snmp-server enable traps transceiver all snmp-server enable traps tty snmp-server enable traps fru-ctrl snmp-server enable traps entity snmp-server enable traps ethernet cfm cc mep-up mep-down cross-connect loop config snmp-server enable traps ethernet cfm crosscheck mep-missing mep-unknown service-up snmp-server enable traps ether-oam snmp-server enable traps flash insertion removal snmp-server enable traps power-ethernet group 2 snmp-server enable traps power-ethernet police snmp-server enable traps cpu threshold snmp-server enable traps rep snmp-server enable traps vtp snmp-server enable traps vlancreate snmp-server enable traps vlandelete snmp-server enable traps auth-framework sec-violation snmp-server enable traps dot1x auth-fail-vlan guest-vlan no-auth-fail-vlan no-guest-vlan snmp-server enable traps envmon fan shutdown supply temperature status snmp-server enable traps entity-diag boot-up-fail hm-test-recover hm-thresh-reached scheduled-test-fail snmp-server enable traps port-security snmp-server enable traps ethernet evc status create delete snmp-server enable traps cef resource-failure peer-state-change peer-fib-state-change inconsistency snmp-server enable traps ipmulticast snmp-server enable traps pim neighbor-change rp-mapping-change invalid-pim-message snmp-server enable traps config-copy snmp-server enable traps config snmp-server enable traps config-ctid snmp-server enable traps energywise snmp-server enable traps bridge newroot topologychange snmp-server enable traps stpx inconsistency root-inconsistency loop-inconsistency snmp-server enable traps syslog snmp-server enable traps ethernet cfm alarm snmp-server enable traps vlan-membership snmp-server enable traps rf snmp-server enable traps mac-notification change move threshold snmp-server host 20.199.0.184 version 2c radius-server host 20.32.16.14 key 7 radius-server host 72.27.16.68 key 7 radius-server retransmit 1 radius-server deadtime 5 ! ! ! ! line con 0 stopbits 1 line vty 0 4 transport input all ! ntp server 20.199.42.1 ntp server 20.199.42.135 mac address-table notification change interval 120 mac address-table notification change history-size 500 mac address-table notification change end ------------------ show stacks ------------------ Minimum process stacks: Free/Size Name 31588/32000 ISSU Infra API Delayed Registration Process 71948/86000 Routekernel Process 30816/32000 UP Helper process 31608/32000 CDP BLOB 30172/32000 EEM Shell Director 34276/35000 IP Connected Route Background 34512/35000 IP Background 32852/38000 CEF: IPv4 process 31408/32000 SPAN Subsystem 13448/24000 Init 85064/86000 EEM Auto Registration Proc 31540/32000 SASL MAIN 28596/29000 AutoQosSRND4 Post System Init Migration 28580/29000 LIM WAVL 34588/35000 cdp init process 30640/32000 Filesys Init Process 28400/29000 OBFL INFRA slot-2 31388/32000 RADIUS INITCONFIG 28388/29000 OBFL INFRA slot-1 31540/32000 URPF stats 28604/29000 Rom Random Update Process 31076/36000 TCP Command 31600/32000 IP SLAs Deferred Schedule Processor 64508/72000 Virtual Exec Interrupt level stacks: Level Called Unused/Size Name 1 0 9000/9000 Level 1 2 3 7960/9000 Level 2 3 150216 8144/9000 Level 3 4 341552 8200/9000 Level 4 5 4227779 8704/9000 Level 5 6 0 9000/9000 Level 6 7 0 9000/9000 Level 7 ------------------ show interfaces ------------------ FastEthernet1 is down, line protocol is down Hardware is Fast Ethernet for out of band management, address is 0025.841e.0100 (bia 0025.841e.0100) MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Unknown duplex, Unknown Speed, 100BaseTX/FX ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/75/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes Received 0 broadcasts (0 IP multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 watchdog 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 2 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out TenGigabitEthernet1/1 is down, line protocol is down (inactive) Hardware is Ten Gigabit Ethernet Port, address is 8843.e179.7b40 (bia 8843.e179.7b40) MTU 1500 bytes, BW 10000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 10Gb/s, link type is auto, media type is No X2 input flow-control is off, output flow-control is off ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out TenGigabitEthernet1/2 is down, line protocol is down (inactive) Hardware is Ten Gigabit Ethernet Port, address is 8843.e179.7b41 (bia 8843.e179.7b41) MTU 1500 bytes, BW 10000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 10Gb/s, link type is auto, media type is No X2 input flow-control is off, output flow-control is off ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet1/3 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e179.7b42 (bia 8843.e179.7b42) Description: Uplink.X97 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 1000BaseSX input flow-control is on, output flow-control is off ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:15, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 14000 bits/sec, 12 packets/sec 5 minute output rate 3552000 bits/sec, 359 packets/sec 302975 packets input, 68215807 bytes, 0 no buffer Received 107036 broadcasts (23876 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 6044251 packets output, 7811771278 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet1/4 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e179.7b43 (bia 8843.e179.7b43) Description: Uplink.X97 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 2/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 1000BaseSX input flow-control is on, output flow-control is off ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:15, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 485000 bits/sec, 719 packets/sec 5 minute output rate 8814000 bits/sec, 857 packets/sec 11679592 packets input, 970185799 bytes, 0 no buffer Received 1373807 broadcasts (1212263 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 13557201 packets output, 17733696741 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet1/5 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e179.7b44 (bia 8843.e179.7b44) MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, Auto-speed, link type is auto, media type is No Gbic input flow-control is off, output flow-control is off ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet1/6 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e179.7b45 (bia 8843.e179.7b45) MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, Auto-speed, link type is auto, media type is No Gbic input flow-control is off, output flow-control is off ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/1 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.0370 (bia 8843.e146.0370) Description: DV3.U1.V1.2.E13 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/2 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0371 (bia 8843.e146.0371) Description: V1.2.B03 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:17, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 1800 packets input, 160971 bytes, 0 no buffer Received 302 broadcasts (286 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1449 packets output, 279044 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/3 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0372 (bia 8843.e146.0372) Description: V1.2.B06 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 89 packets input, 27746 bytes, 0 no buffer Received 26 broadcasts (22 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 30130 packets output, 2542440 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/4 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0373 (bia 8843.e146.0373) Description: V1.2.B01 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:17, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 1784 packets input, 160200 bytes, 0 no buffer Received 303 broadcasts (287 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1433 packets output, 258953 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/5 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0374 (bia 8843.e146.0374) Description: V1.2.B19 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 88 packets input, 32004 bytes, 0 no buffer Received 32 broadcasts (32 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 30107 packets output, 2532769 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/6 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0375 (bia 8843.e146.0375) Description: V1.2.B16 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:17, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 2000 bits/sec, 2 packets/sec 5913 packets input, 1199738 bytes, 0 no buffer Received 622 broadcasts (293 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 35456 packets output, 3560437 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/7 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0376 (bia 8843.e146.0376) Description: V1.2.B15 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:12, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 2000 bits/sec, 1 packets/sec 23955 packets input, 4521202 bytes, 0 no buffer Received 2121 broadcasts (1170 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 28795 packets output, 9097060 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/8 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.0377 (bia 8843.e146.0377) Description: Video-BF3.X98 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/9 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.0378 (bia 8843.e146.0378) Description: V1.2.E08 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/10 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0379 (bia 8843.e146.0379) Description: DV.3.U1.V1.2.B05 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:19:41, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 16394 packets input, 3327359 bytes, 0 no buffer Received 1755 broadcasts (1094 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 18272 packets output, 6501261 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/11 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.037a (bia 8843.e146.037a) Description: V1.2.B04 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:18, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 1825 packets input, 162914 bytes, 0 no buffer Received 302 broadcasts (286 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1470 packets output, 280767 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/12 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.037b (bia 8843.e146.037b) Description: VG-5086.X99 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:26, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 2082 packets input, 266504 bytes, 0 no buffer Received 299 broadcasts (284 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 2291 packets output, 347682 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/13 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.037c (bia 8843.e146.037c) Description: Video-BF3.X98 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/14 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.037d (bia 8843.e146.037d) Description: V1.2.B13 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:17, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 16957 packets input, 2568319 bytes, 0 no buffer Received 1727 broadcasts (960 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 19188 packets output, 6967398 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/15 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.037e (bia 8843.e146.037e) Description: DV.3.U1.V1.2.F05 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:19, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 1000 bits/sec, 2 packets/sec 5385 packets input, 1800045 bytes, 0 no buffer Received 1161 broadcasts (874 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 49433 packets output, 4822026 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/16 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.037f (bia 8843.e146.037f) Description: V1.2.E05 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:17, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 1783 packets input, 160048 bytes, 0 no buffer Received 302 broadcasts (286 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1431 packets output, 257599 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/17 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0380 (bia 8843.e146.0380) Description: V1.2.C11 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 380 packets input, 48253 bytes, 0 no buffer Received 368 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 29783 packets output, 2509761 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/18 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0381 (bia 8843.e146.0381) Description: V1.2.A06 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:18, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 1777 packets input, 159485 bytes, 0 no buffer Received 303 broadcasts (287 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1424 packets output, 265453 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/19 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0382 (bia 8843.e146.0382) Description: V1.2.A17 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:19, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 1780 packets input, 159804 bytes, 0 no buffer Received 303 broadcasts (287 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1425 packets output, 267096 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/20 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.0383 (bia 8843.e146.0383) Description: V1.2.A08 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/21 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.0384 (bia 8843.e146.0384) Description: V1.2.A19 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/22 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0385 (bia 8843.e146.0385) Description: V1.2.A18 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:19, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 1772 packets input, 159190 bytes, 0 no buffer Received 302 broadcasts (286 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1420 packets output, 264730 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/23 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.0386 (bia 8843.e146.0386) Description: V1.2.A20 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/24 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0387 (bia 8843.e146.0387) Description: U1.V1.2.B12 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 293 packets output, 138337 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/25 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0388 (bia 8843.e146.0388) Description: V1.2.B11 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:19:37, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 70000 bits/sec, 42 packets/sec 5 minute output rate 96000 bits/sec, 41 packets/sec 734250 packets input, 175572129 bytes, 0 no buffer Received 2002 broadcasts (1090 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 731869 packets output, 219914445 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/26 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.0389 (bia 8843.e146.0389) Description: V1.2.A05 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/27 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.038a (bia 8843.e146.038a) Description: Video-BF3.X98 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 137000 bits/sec, 19 packets/sec 5 minute output rate 7000 bits/sec, 12 packets/sec 491677 packets input, 601788546 bytes, 0 no buffer Received 124 broadcasts (118 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 285116 packets output, 22018825 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/28 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.038b (bia 8843.e146.038b) Description: DV.3.U1.V1.2.B09 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:18, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 56018 packets input, 11501494 bytes, 0 no buffer Received 2307 broadcasts (996 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 48828 packets output, 14967765 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/29 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.038c (bia 8843.e146.038c) Description: V1.2.C08 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 04:40:21, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 18 packets input, 1532 bytes, 0 no buffer Received 6 broadcasts (3 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 30154 packets output, 2560332 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/30 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.038d (bia 8843.e146.038d) Description: Video-BF3.X98 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 4/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 1665000 bits/sec, 156 packets/sec 5 minute output rate 51000 bits/sec, 98 packets/sec 2209833 packets input, 2926317160 bytes, 0 no buffer Received 128 broadcasts (121 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1157615 packets output, 77862705 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/31 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.038e (bia 8843.e146.038e) Description: Video-BF3.X98 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 3/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 1471000 bits/sec, 144 packets/sec 5 minute output rate 45000 bits/sec, 85 packets/sec 2260388 packets input, 3040864112 bytes, 0 no buffer Received 127 broadcasts (120 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1210584 packets output, 81251681 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/32 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.038f (bia 8843.e146.038f) Description: Video-BF3.X98 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 3/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 1510000 bits/sec, 147 packets/sec 5 minute output rate 45000 bits/sec, 86 packets/sec 2259265 packets input, 3122460134 bytes, 0 no buffer Received 125 broadcasts (118 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1159739 packets output, 78001064 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/33 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.0390 (bia 8843.e146.0390) Description: Video-BF3.X98 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/34 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0391 (bia 8843.e146.0391) Description: Video-BF3.X98 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 2/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 992000 bits/sec, 109 packets/sec 5 minute output rate 32000 bits/sec, 60 packets/sec 1550072 packets input, 2042790988 bytes, 0 no buffer Received 286 broadcasts (279 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 857789 packets output, 58653469 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/35 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0392 (bia 8843.e146.0392) Description: Video-BF3.X98 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 2/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 975000 bits/sec, 106 packets/sec 5 minute output rate 30000 bits/sec, 58 packets/sec 1515716 packets input, 2038665795 bytes, 0 no buffer Received 287 broadcasts (280 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 840464 packets output, 57544300 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/36 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0393 (bia 8843.e146.0393) Description: Video-BF3.X98 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 6/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 2508000 bits/sec, 221 packets/sec 5 minute output rate 72000 bits/sec, 134 packets/sec 3859457 packets input, 5216635410 bytes, 0 no buffer Received 126 broadcasts (119 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 1952656 packets output, 128743239 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/37 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0394 (bia 8843.e146.0394) Description: DV.3.U1.V1.2.F01 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:24, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 5428 packets input, 1814748 bytes, 0 no buffer Received 1160 broadcasts (873 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 49475 packets output, 4827203 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/38 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0395 (bia 8843.e146.0395) Description: Video.BF3 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 7/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 3014000 bits/sec, 273 packets/sec 5 minute output rate 87000 bits/sec, 147 packets/sec 4517632 packets input, 6267396613 bytes, 0 no buffer Received 126 broadcasts (119 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 2340561 packets output, 153569151 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/39 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0396 (bia 8843.e146.0396) Description: DV.3.U1.V1.2.F02 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:24, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 1000 bits/sec, 2 packets/sec 5423 packets input, 1803443 bytes, 0 no buffer Received 1161 broadcasts (874 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 49473 packets output, 4827073 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/40 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0397 (bia 8843.e146.0397) Description: DV.3.U1.V1.2.F03 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:20, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 5383 packets input, 1800693 bytes, 0 no buffer Received 1161 broadcasts (874 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 49431 packets output, 4821808 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/41 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.0398 (bia 8843.e146.0398) Description: V1.2.C17 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/42 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.0399 (bia 8843.e146.0399) Description: DV.3.U1.V1.2.F04 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:22, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 2000 bits/sec, 2 packets/sec 5421 packets input, 1809478 bytes, 0 no buffer Received 1159 broadcasts (872 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 49470 packets output, 4826116 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/43 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.039a (bia 8843.e146.039a) Description: V1.2.B20 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is on, output flow-control is on Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:18, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 3130 packets input, 294419 bytes, 0 no buffer Received 1386 broadcasts (639 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 30174 packets output, 2570313 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/44 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.039b (bia 8843.e146.039b) Description: DV3.U1.V1.2.B02 MTU 1500 bytes, BW 100000 Kbit/sec, DLY 100 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 100Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 1000 bits/sec, 2 packets/sec 87 packets input, 26488 bytes, 0 no buffer Received 22 broadcasts (22 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 30141 packets output, 2545766 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/45 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.039c (bia 8843.e146.039c) Description: Zutritt.X98 MTU 1500 bytes, BW 10000 Kbit/sec, DLY 1000 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Half-duplex, 10Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 9341 packets input, 675840 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 19765 packets output, 1479297 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/46 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.039d (bia 8843.e146.039d) Description: Zutritt.X98 MTU 1500 bytes, BW 10000 Kbit/sec, DLY 1000 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Half-duplex, 10Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 9361 packets input, 677096 bytes, 0 no buffer Received 93 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 19662 packets output, 1472695 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/47 is up, line protocol is up (connected) Hardware is Gigabit Ethernet Port, address is 8843.e146.039e (bia 8843.e146.039e) Description: Zutritt.X98 MTU 1500 bytes, BW 10000 Kbit/sec, DLY 1000 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Half-duplex, 10Mb/s, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 1000 bits/sec, 2 packets/sec 26567 packets input, 1778437 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 37047 packets output, 2587398 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out GigabitEthernet2/48 is down, line protocol is down (notconnect) Hardware is Gigabit Ethernet Port, address is 8843.e146.039f (bia 8843.e146.039f) Description: Video-BF3.X98 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Auto-duplex, Auto-speed, link type is auto, media type is 10/100/1000-TX input flow-control is off, output flow-control is off Auto-MDIX on (operational: on) ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 0 packets output, 0 bytes, 0 underruns 0 output errors, 0 collisions, 3 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out Port-channel1 is up, line protocol is up (connected) Hardware is EtherChannel, address is 8843.e179.7b42 (bia 8843.e179.7b42) MTU 1500 bytes, BW 2000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive set (10 sec) Full-duplex, 1000Mb/s, media type is N/A input flow-control is on, output flow-control is unsupported Members in this channel: Gi1/3 Gi1/4 ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:00, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/2000/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 506000 bits/sec, 730 packets/sec 5 minute output rate 12330000 bits/sec, 1197 packets/sec 11982567 packets input, 1038401606 bytes, 0 no buffer Received 1480843 broadcasts (1236139 multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 input packets with dribble condition detected 19601452 packets output, 25545468019 bytes, 0 underruns 0 output errors, 0 collisions, 2 interface resets 0 unknown protocol drops 0 babbles, 0 late collision, 0 deferred 0 lost carrier, 0 no carrier 0 output buffer failures, 0 output buffers swapped out Vlan1 is up, line protocol is up Hardware is Ethernet SVI, address is 8843.e179.7b7f (bia 8843.e179.7b7f) MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive not supported ARP type: ARPA, ARP Timeout 04:00:00 Last input never, output never, output hang never Last clearing of "show interface" counters never Input queue: 0/75/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 0 bits/sec, 0 packets/sec L3 in Switched: ucast: 0 pkt, 0 bytes - mcast: 0 pkt, 0 bytes L3 out Switched: ucast: 0 pkt, 0 bytes - mcast: 0 pkt, 0 bytes IPv6 L3 in Switched: ucast: 0 pkt, 0 bytes - mcast: 0 pkt, 0 bytes IPv6 L3 out Switched: ucast: 0 pkt, 0 bytes - mcast: 0 pkt, 0 bytes 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 IP multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 0 packets output, 0 bytes, 0 underruns 0 output errors, 2 interface resets 0 unknown protocol drops 0 output buffer failures, 0 output buffers swapped out Vlan1941 is up, line protocol is up Hardware is Ethernet SVI, address is 8843.e179.7b7f (bia 8843.e179.7b7f) Internet address is 20.199.41.15/24 MTU 1500 bytes, BW 1000000 Kbit/sec, DLY 10 usec, reliability 255/255, txload 1/255, rxload 1/255 Encapsulation ARPA, loopback not set Keepalive not supported ARP type: ARPA, ARP Timeout 04:00:00 Last input 00:00:00, output never, output hang never Last clearing of "show interface" counters never Input queue: 1/75/0/0 (size/max/drops/flushes); Total output drops: 0 Queueing strategy: fifo Output queue: 0/40 (size/max) 5 minute input rate 0 bits/sec, 0 packets/sec 5 minute output rate 3000 bits/sec, 2 packets/sec L3 in Switched: ucast: 0 pkt, 0 bytes - mcast: 0 pkt, 0 bytes L3 out Switched: ucast: 0 pkt, 0 bytes - mcast: 0 pkt, 0 bytes IPv6 L3 in Switched: ucast: 0 pkt, 0 bytes - mcast: 0 pkt, 0 bytes IPv6 L3 out Switched: ucast: 0 pkt, 0 bytes - mcast: 0 pkt, 0 bytes 0 packets input, 0 bytes, 0 no buffer Received 0 broadcasts (0 IP multicasts) 0 runts, 0 giants, 0 throttles 0 input errors, 0 CRC, 0 frame, 0 overrun, 0 ignored 10084 packets output, 1361666 bytes, 0 underruns 0 output errors, 2 interface resets 0 unknown protocol drops 0 output buffer failures, 0 output buffers swapped out ------------------ show interfaces history ------------------ ------------------ show controllers ------------------ Mac Statistics: -------------- 8540 MAC: Supervisor out of band management port Restarts: 0 Internal errors: 0 Interrupts: 0 MAC address:00:25:84:1E:01:00 Phy status: No link Queue Statistics: ---------------- Current queue occupancy:0 Max queue occupancy: 0 Receive Statistics: ------------------ Software byte count: 0 Hardware byte count: 0 Software packet count: 0 Hardware packet count: 0 Drop Count: 0 No buffers available: 0 Pause frames count: 0 Control frames count: 0 FCS Erro : 0 Unknown Opcode: 0 Alignment Error: 0 Code Error: 0 Carrier Sense Error: 0 Transmit Statistics: ------------------- Software byte count: 0 Hardware byte count: 0 Software packet count: 0 Hardware packet count: 0 Drop count: 0 Pause frames count: 0 Control frames count: 0 Collisions: 0 FCS Errors: 0 Mac Statistics: -------------- 8540 MAC: Supervisor Sup-To-Sup port Restarts: 0 Internal errors: 0 Interrupts: 0 MAC address:02:00:5E:00:01:00 Phy status: No link Queue Statistics: ---------------- Current queue occupancy:0 Max queue occupancy: 0 Receive Statistics: ------------------ Software byte count: 0 Hardware byte count: 0 Software packet count: 0 Hardware packet count: 0 Drop Count: 0 No buffers available: 0 Pause frames count: 0 Control frames count: 0 FCS Erro : 0 Unknown Opcode: 0 Alignment Error: 0 Code Error: 0 Carrier Sense Error: 0 Transmit Statistics: ------------------- Software byte count: 0 Hardware byte count: 0 Software packet count: 0 Hardware packet count: 0 Drop count: 0 Pause frames count: 0 Control frames count: 0 Collisions: 0 FCS Errors: 0 ------------------ show user ------------------ Line User Host(s) Idle Location * 1 vty 0 LMS idle 00:00:01 Interface User Mode Idle Peer Address ------------------ show file systems ------------------ File Systems: Size(b) Free(b) Type Flags Prefixes * 128206848 89643008 flash rw bootflash: - - disk rw slot0: - - opaque rw system: - - opaque rw tmpsys: - - opaque ro crashinfo: 524284 523548 flash rw cat4000_flash: - - opaque rw null: - - opaque ro tar: - - network rw tftp: - - opaque ro profiler: 524284 513234 nvram rw nvram: - - network rw rcp: - - network rw http: - - network rw ftp: - - network rw scp: - - network rw https: - - opaque ro cns: ------------------ show file descriptors ------------------ File Descriptors: FD Position Open PID Path No open file descriptors ------------------ show bootflash: all ------------------ -#- --length-- -----date/time------ path 1 30630977 Mar 26 2015 05:06:26 cat4500e-lanbasek9-mz.151-2.SG5.bin 89643008 bytes available (38563840 bytes used) ------------------ show cat4000_flash: all ------------------ # type length date/time name -+----+------+---------+-------- 1 bin 736 vlan.dat 523548 bytes available (736 bytes used) ------------------ dir nvram: ------------------ Directory of nvram:/ 512 -rw- 7921 startup-config 513 ---- 5 private-config 514 -rw- 7921 underlying-config 1 ---- 184 persistent-data 2 ---- 0 rf_cold_starts 3 -rw- 0 ifIndex-table.gz 524284 bytes total (513234 bytes free) ------------------ show data-corruption ------------------ No data inconsistency errors have been recorded. ------------------ show memory statistics ------------------ Head Total(b) Used(b) Free(b) Lowest(b) Largest(b) Processor 15AC0074 441712520 211049688 230662832 229373428 229822196 ------------------ show process memory ------------------ Processor Pool Total: 441712520 Used: 211049260 Free: 230663260 PID TTY Allocated Freed Holding Getbufs Retbufs Process 0 0 213023496 7680008 196050616 0 0 *Init* 0 0 14200 1570220 14200 0 0 *Sched* 0 0 6882352 5670792 398760 1582999 0 *Dead* 0 0 0 0 0 0 0 *MallocLite* 1 0 46440 20236 70204 0 0 Chunk Manager 2 0 232 232 30192 0 0 Load Meter 3 0 0 0 36216 0 0 Connection Mgr 4 0 0 0 33192 0 0 Deferred Events 5 0 0 0 33192 0 0 Retransmission o 6 0 0 0 33192 0 0 IPC ISSU Dispatc 7 0 2040 232 35000 0 0 Check heaps 8 0 18848180 19209912 144536 18495095 18626931 Pool Manager 9 0 0 0 33192 0 0 DiscardQ Backgro 10 0 232 232 33192 0 0 Timers 11 0 0 0 30192 0 0 WATCH_AFS 12 0 0 0 33192 0 0 IPC Apps Task 13 0 232 232 33192 0 0 Serial Backgroun 14 0 0 0 51192 0 0 Crash writer 15 0 340 0 33532 0 0 Exception contro 16 0 430320 17512 406768 0 0 RF Slave Main Th 17 0 0 0 33192 0 0 ifIndex Receive 18 0 0 0 33192 0 0 CEF MIB API 19 0 52300 768 84724 0 0 ARP Input 20 0 3420 3420 33192 0 0 ARP Background 21 0 0 0 33192 0 0 AAA_SERVER_DEADT 22 0 0 0 39192 0 0 Policy Manager 23 0 113908 86304 108136 0 8320 Entity MIB API 24 0 0 0 33192 0 0 IFS Agent Manage 25 0 0 0 33192 0 0 IPC Event Notifi 26 0 0 0 33192 0 0 IPC Mcast Pendin 27 0 0 0 33192 0 0 IPC Dynamic Cach 28 0 0 0 33192 0 0 IPC Session Serv 29 0 0 0 33192 0 0 IPC Zone Manager 30 0 0 0 33192 0 0 IPC Periodic Tim 31 0 0 0 33192 0 0 IPC Deferred Por 32 0 0 0 33192 0 0 IPC Process leve 33 0 1688 0 34880 0 0 IPC Seat Manager 34 0 0 0 33192 0 0 IPC Check Queue 35 0 0 0 33192 0 0 IPC Seat RX Cont 36 0 0 0 33192 0 0 IPC Seat TX Cont 37 0 0 0 33192 0 0 IPC Keep Alive M 38 0 0 0 33192 0 0 IPC Loadometer 39 0 132116 132116 33192 0 0 PrstVbl 40 0 0 0 33192 0 0 client_entity_se 41 0 0 0 33192 0 0 SERIAL A'detect 42 0 1484 0 34676 0 0 ARP Snoop 43 0 0 0 33192 0 0 Dynamic ARP Insp 44 0 232 232 33192 0 0 SMART 45 0 232 232 33192 0 0 GraphIt 46 0 672 0 30864 0 0 PCMCIA Hotswap 47 0 0 0 33192 0 0 Critical Bkgnd 48 0 217168 9348 52556 0 0 Net Background 49 0 148310780 148310540 39432 0 0 IDB Work 50 0 78748 232 39192 45684 0 Logger 51 0 232 748 33192 0 0 TTY Background 52 0 0 0 33192 0 0 BACK CHECK 53 0 5692 0 38884 0 0 IF-MGR control p 54 0 0 0 33192 0 0 IF-MGR event pro 55 0 0 0 33192 0 0 ISSU PROCESS IPC 56 0 0 0 33192 0 0 PrstVbl IPC Msg 57 0 0 0 33192 0 0 Smart Install HA 58 0 0 0 33192 0 0 cpf_msg_holdq_pr 59 0 0 0 33192 0 0 cpf_msg_rcvq_pro 60 0 0 0 33192 0 0 cpf_process_tpQ 61 0 0 0 30192 0 0 XDR RRP RF waite 62 0 232 232 33192 0 0 AutoQoS HA 63 0 232 232 36192 0 0 IP Host Track HA 64 0 0 0 33192 0 0 ARP HA 65 0 232 232 36192 0 0 SISF HA Process 66 0 0 0 33192 0 0 Network-rf Notif 67 0 1767940 897012 709964 6804 0 Cat4k Mgmt HiPri 68 0 82796 31480 94916 0 0 Cat4k Mgmt LoPri 69 0 0 0 33192 0 0 Galios Reschedul 70 0 2381576 464 2206392 0 0 GaliosObflLogger 71 0 0 0 33192 0 0 IOS ACL Helper 72 0 1426640 1426368 33464 0 0 GaliosQuack_help 73 0 23176 30620 42308 0 0 RF Master Main T 74 0 2572 0 35764 0 0 RF Master Status 75 0 0 0 33192 0 0 Net Input 76 0 232 232 33192 0 0 Compute load avg 77 0 0 0 33192 0 0 Per-minute Jobs 78 0 0 0 36192 0 0 Per-Second Jobs 79 0 0 0 33192 0 0 GaliosQuack_sudi 80 0 0 0 33192 0 0 AggMgr Process 81 0 0 1588 33192 0 0 Transport Port A 82 0 0 0 33192 0 0 HC Counter Timer 83 0 0 0 33192 0 0 SFF8472 84 0 6600 4795012 42792 0 0 EEM ED ND 85 0 4556 0 40748 0 0 EEM ED Identity 86 0 4556 0 40748 0 0 EEM ED MAT 87 0 232 232 39192 0 0 Ethernet CFM 88 0 232 232 39192 0 0 Ethernet Timer C 89 0 232 232 39192 0 0 Ethernet Msec Ti 90 0 232 232 36192 0 0 Ethernet OAM Pro 91 0 0 0 33192 0 0 PPPOE IA 92 0 232 232 33192 0 0 REP Topology cha 93 0 232 232 33192 0 0 Netflow-lite Exp 94 0 0 0 33192 0 0 Netflow-Lite 95 0 1019452 514072 684412 0 0 Auth Manager 96 0 232 232 33192 0 0 CMD HANDLER 97 0 376 232 33336 0 0 Dot1x Mgr Proces 98 0 0 0 33192 0 0 AUTH POLICY Fram 99 0 0 0 33192 0 0 802.1x Webauth F 100 0 255376 18556 39000 0 0 802.1x switch 101 0 21824 4172 44808 0 0 DTP Protocol 102 0 337940 402068 102572 0 0 EAP Framework 103 0 0 0 33192 0 0 EAP Test 104 0 216 0 33408 0 0 Port-Security 105 0 232 232 33192 0 0 EFP Errd 106 0 232 8100 33192 0 0 Qos If Bw Change 107 0 3304 232 36264 0 0 UDLD 108 0 232 232 36192 0 0 IP Host Track Pr 109 0 71328 0 104520 0 0 Switch Backup In 110 0 0 0 33192 0 0 MMN bkgrd proces 111 0 216 0 39408 0 0 CEF switching ba 112 0 216 0 30408 0 0 ADJ NSF process 113 0 232 232 36192 0 0 EAPoUDP Process 114 0 2168 700 41128 0 0 SXP CORE 115 0 249532 16080 73500 9072 0 AAA Server 116 0 0 0 33192 0 0 AAA ACCT Proc 117 0 49384 0 82576 0 0 ACCT Periodic Pr 118 0 0 0 33192 0 0 AAA System Acct 119 0 0 0 33192 0 0 Auth-proxy AAA B 120 0 0 0 33192 0 0 IP Admin SM Proc 121 0 5709840 656780 325880 10152 0 CDP Protocol 122 0 103316 34132 33240 0 0 SpanTree Helper 124 0 232 232 33192 0 0 AAA Dictionary R 125 0 0 0 33192 0 0 DHCP Snooping 126 0 0 0 33192 0 0 DHCP Snooping db 127 0 1124 0 40316 0 0 IP ARP Adjacency 128 0 33044 0 66236 0 0 IP ARP Retry Age 129 0 12128 2712 40680 0 0 IP Input 130 0 0 0 33192 0 0 ICMP event handl 131 0 232 232 36192 0 0 IP ARP Track 132 0 0 0 33192 0 0 IPv6 ping proces 133 1 1645268 1637276 81044 0 0 Virtual Exec 134 0 3160 0 54352 0 0 SMI Director DB 135 0 0 0 33192 0 0 SMI CDP Update H 136 0 680 0 51872 0 0 SMI Backup Proce 137 0 17456 984 33408 0 0 Spanning Tree 138 0 232 232 33192 0 0 Ethchnl 139 0 440 5424 39192 0 0 TCP Timer 140 0 265232 1356 39192 0 0 TCP Protocols 141 0 0 0 33192 0 0 Socket Timers 142 0 1920 0 38112 0 0 HTTP CORE 143 0 232 232 33192 0 0 Cluster L2 144 0 0 0 33192 0 0 Cluster RARP 145 0 216 0 39408 0 0 CEF background p 146 0 216 0 39452 0 0 fib_fib_bfd_sb e 147 0 0 0 36192 0 0 IP IRDP 148 0 0 0 51192 0 0 COPS 149 0 0 0 33192 0 0 ECFM HA IPC flow 150 0 0 0 33192 0 0 Probe Input 151 0 272 0 33464 0 0 XDR background p 152 0 0 0 33192 0 0 XDR mcast 153 0 0 0 33192 0 0 XDR RP Ping Back 154 0 0 0 39192 0 0 XDR receive 155 0 0 0 33192 0 0 IPC LC Message H 156 0 0 0 33192 0 0 XDR RP Test Back 157 0 216 0 39408 0 0 MFIB Master back 158 0 448 0 39640 0 0 MRIB RP Proxy 159 0 128632 175076 99336 5076 0 RADIUS 160 0 216 0 33408 0 0 ADJ background 161 0 0 0 33192 0 0 RARP Input 162 0 216 0 33408 0 0 XDR FOF process 163 0 232 232 33192 0 0 REP LSL Proc 164 0 10276 232 43236 0 0 REP BPA/EPA Proc 165 0 0 0 33192 0 0 Critical Auth 166 0 448 232 33408 0 0 Dot1x Supplicant 167 0 448 232 33408 0 0 Dot1x Supplicant 168 0 448 232 33408 0 0 Dot1x Supplicant 169 0 71160 39892 33192 0 0 MAB Framework 170 0 64700 112004 56996 0 0 IGMPSN L2MCM 171 0 0 0 33192 0 0 IGMPSN MRD 172 0 0 0 33192 0 0 IGMPSN 173 0 0 0 33192 0 0 IGMPQR 174 0 0 0 33192 0 0 IGMPSN-HA 175 0 64848 101928 57144 0 0 MLDSN L2MCM 176 0 0 0 33192 0 0 MRD 177 0 0 0 33192 0 0 MLD_SNOOP 178 0 0 0 33192 0 0 MLDSN-HA 179 0 41696 232 38440 0 0 L2TRACE SERVER 180 0 0 0 33192 0 0 DHCP Snooping HA 181 0 232 232 33192 0 0 Flow Exporter Ti 182 0 0 0 33192 0 0 DSENSOR HA 183 0 0 0 33192 0 0 AAA HA 184 0 49392 0 82584 0 0 AAA HA cleanup 185 0 0 0 39192 0 0 Routing Topology 186 0 1192 0 40384 0 0 IP RIB Update 187 0 216 0 39408 0 0 Collection proce 188 0 38304 544 43216 0 0 NTP 189 0 232 232 36192 0 0 static 190 0 216 0 39408 0 0 ADJ resolve proc 191 0 0 0 33252 0 0 SNMP Timers 192 0 97652 232 70228 0 0 DHCPD Receive 193 0 0 0 36192 0 0 IPv6 RIB Cleanup 194 0 448 232 36408 0 0 Multicast Offloa 195 0 448 232 36408 0 0 MVPN mgr Process 196 0 0 0 36192 0 0 IPv6 RIB Event H 197 0 137244 137244 33192 0 0 QoS stats proces 198 0 0 0 33192 0 0 CFMPAL Process 199 0 2108 232 35068 0 0 TPLUS 200 0 240 24360 33432 0 0 EPM MAIN PROCESS 201 0 232 232 33192 0 0 AAA Cached Serve 202 0 0 0 33192 0 0 EM Background Pr 203 0 2144 2068 33268 0 0 LOCAL AAA 204 0 232 232 33192 0 0 ENABLE AAA 205 0 232 232 33192 0 0 LINE AAA 206 0 2108 232 35080 0 0 LDAP process 208 0 33760 32536 34416 0 0 NIST rng proc 209 0 448 232 33408 0 0 PIM HA 210 0 232 232 33192 0 0 REP Switch Helpe 211 0 0 0 33192 0 0 crypto engine pr 212 0 0 0 39192 0 0 encrypt proc 213 0 0 2284 33204 0 0 crypto sw pk pro 214 0 23892 7736 55348 0 0 Crypto CA 215 0 0 0 39192 0 0 Crypto PKI-CRL 216 0 10144 6976 42360 0 0 OBFL UPTIME slot 217 0 232 232 33192 0 0 DHCP Security He 218 0 76 376 33192 0 0 DiagCard1/-1 219 0 76 376 33192 0 0 DiagCard2/-1 220 0 82924 203064 38456 0 0 PM Callback 221 0 232 232 33192 0 0 dhcp snooping sw 222 0 232 360 33192 0 0 VTP Trap Process 223 0 13236 10160 42268 0 0 OBFL UPTIME slot 225 0 267228 0 303420 100548 0 EEM ED Syslog 226 0 232 18716 51192 0 0 ASP Process Crea 227 0 232 232 33192 0 0 CTS HA 228 0 6736 34136 33192 0 0 AAA SEND STOP EV 229 0 0 0 39192 0 0 Test AAA Client 230 0 0 0 33192 0 0 Syslog Traps 231 0 0 0 33192 0 0 DHCPD Timer 232 0 232 232 33192 0 0 SPAN switch 233 0 70512 0 103704 0 0 EEM Server 234 0 55768 43108 34216 0 0 Dot1x Authentica 235 0 4556 0 40748 0 0 EEM ED OIR 236 0 4556 0 40748 0 0 EEM ED Timer 237 0 11476 1720 42952 0 0 EEM Policy Direc 238 0 6832 528 45496 0 0 RBM CORE 239 0 137256 133512 42956 0 0 Syslog 240 0 166100 134028 46976 3120 0 VLAN Manager 241 0 1808 232 34780 0 0 Dot1x Authentica 242 0 2519016 2518092 40116 0 0 IP SNMP 243 0 2430436 0 39192 0 0 PDU DISPATCHER 244 0 6344984 8633300 181312 0 0 SNMP ENGINE 245 0 11200 232 50188 0 0 IP SNMPV6 246 0 0 0 39192 0 0 SNMP ConfCopyPro 247 0 374960 365716 57520 2268 0 SNMP Traps 248 0 232 232 30204 0 0 MAC Notification 249 0 0 0 30192 0 0 System polling 250 0 1984 1392 37020 0 0 OBFL ENV slot-2 251 0 216 0 33408 0 0 DHCPD Database 252 0 22968 22968 33192 0 0 RADIUS IO STATS 253 0 4028 2824 40396 0 0 OBFL MSG slot-2 254 0 55008 53068 41132 0 0 OBFL TEMP slot-2 255 0 1288 740 37020 0 0 OBFL ENV slot-1 256 0 2252 1740 39704 0 0 OBFL MSG slot-1 257 0 2128 580 34740 0 0 OBFL INTR slot-1 258 0 145300 142560 41932 0 0 OBFL TEMP slot-1 211034408 Total ------------------ show process cpu ------------------ CPU utilization for five seconds: 4%/0%; one minute: 4%; five minutes: 4% PID Runtime(ms) Invoked uSecs 5Sec 1Min 5Min TTY Process 1 4 28 142 0.00% 0.00% 0.00% 0 Chunk Manager 2 0 3371 0 0.00% 0.00% 0.00% 0 Load Meter 3 0 1 0 0.00% 0.00% 0.00% 0 Connection Mgr 4 0 1 0 0.00% 0.00% 0.00% 0 Deferred Events 5 0 1 0 0.00% 0.00% 0.00% 0 Retransmission o 6 0 1 0 0.00% 0.00% 0.00% 0 IPC ISSU Dispatc 7 16672 2840 5870 0.00% 0.10% 0.07% 0 Check heaps 8 0 282 0 0.00% 0.00% 0.00% 0 Pool Manager 9 0 1 0 0.00% 0.00% 0.00% 0 DiscardQ Backgro 10 0 2 0 0.00% 0.00% 0.00% 0 Timers 11 4 79 50 0.00% 0.00% 0.00% 0 WATCH_AFS 12 0 1 0 0.00% 0.00% 0.00% 0 IPC Apps Task 13 0 2 0 0.00% 0.00% 0.00% 0 Serial Backgroun 14 0 1 0 0.00% 0.00% 0.00% 0 Crash writer 15 0 1 0 0.00% 0.00% 0.00% 0 Exception contro 16 8 12 666 0.00% 0.00% 0.00% 0 RF Slave Main Th 17 0 1 0 0.00% 0.00% 0.00% 0 ifIndex Receive 18 0 1 0 0.00% 0.00% 0.00% 0 CEF MIB API 19 0 6 0 0.00% 0.00% 0.00% 0 ARP Input 20 8 17678 0 0.00% 0.00% 0.00% 0 ARP Background 21 0 1 0 0.00% 0.00% 0.00% 0 AAA_SERVER_DEADT 22 0 1 0 0.00% 0.00% 0.00% 0 Policy Manager 23 8 15 533 0.00% 0.00% 0.00% 0 Entity MIB API 24 0 1 0 0.00% 0.00% 0.00% 0 IFS Agent Manage 25 4 3382 1 0.00% 0.00% 0.00% 0 IPC Event Notifi 26 0 16511 0 0.00% 0.00% 0.00% 0 IPC Mcast Pendin 27 0 282 0 0.00% 0.00% 0.00% 0 IPC Dynamic Cach 28 0 1 0 0.00% 0.00% 0.00% 0 IPC Session Serv 29 0 1 0 0.00% 0.00% 0.00% 0 IPC Zone Manager 30 0 16511 0 0.00% 0.00% 0.00% 0 IPC Periodic Tim 31 8 16511 0 0.00% 0.00% 0.00% 0 IPC Deferred Por 32 0 1 0 0.00% 0.00% 0.00% 0 IPC Process leve 33 0 1 0 0.00% 0.00% 0.00% 0 IPC Seat Manager 34 0 308 0 0.00% 0.00% 0.00% 0 IPC Check Queue 35 0 1 0 0.00% 0.00% 0.00% 0 IPC Seat RX Cont 36 0 1 0 0.00% 0.00% 0.00% 0 IPC Seat TX Cont 37 0 1692 0 0.00% 0.00% 0.00% 0 IPC Keep Alive M 38 0 3383 0 0.00% 0.00% 0.00% 0 IPC Loadometer 39 88 3 29333 0.00% 0.00% 0.00% 0 PrstVbl 40 0 1 0 0.00% 0.00% 0.00% 0 client_entity_se 41 0 1 0 0.00% 0.00% 0.00% 0 SERIAL A'detect 42 104 1297 80 0.00% 0.00% 0.00% 0 ARP Snoop 43 4 16908 0 0.00% 0.00% 0.00% 0 Dynamic ARP Insp 44 0 2 0 0.00% 0.00% 0.00% 0 SMART 45 0 16848 0 0.00% 0.00% 0.00% 0 GraphIt 46 0 1 0 0.00% 0.00% 0.00% 0 PCMCIA Hotswap 47 0 1 0 0.00% 0.00% 0.00% 0 Critical Bkgnd 48 24 6448 3 0.00% 0.00% 0.00% 0 Net Background 49 128 16856 7 0.07% 0.07% 0.07% 0 IDB Work 50 0 183 0 0.00% 0.00% 0.00% 0 Logger 51 0 16844 0 0.00% 0.00% 0.00% 0 TTY Background 52 0 17 0 0.00% 0.00% 0.00% 0 BACK CHECK 53 0 7 0 0.00% 0.00% 0.00% 0 IF-MGR control p 54 4 117 34 0.00% 0.00% 0.00% 0 IF-MGR event pro 55 0 1 0 0.00% 0.00% 0.00% 0 ISSU PROCESS IPC 56 0 1 0 0.00% 0.00% 0.00% 0 PrstVbl IPC Msg 57 0 1 0 0.00% 0.00% 0.00% 0 Smart Install HA 58 0 2 0 0.00% 0.00% 0.00% 0 cpf_msg_holdq_pr 59 0 1 0 0.00% 0.00% 0.00% 0 cpf_msg_rcvq_pro 60 0 1 0 0.00% 0.00% 0.00% 0 cpf_process_tpQ 61 0 1 0 0.00% 0.00% 0.00% 0 XDR RRP RF waite 62 0 2 0 0.00% 0.00% 0.00% 0 AutoQoS HA 63 0 2 0 0.00% 0.00% 0.00% 0 IP Host Track HA 64 0 3 0 0.00% 0.00% 0.00% 0 ARP HA 65 0 2 0 0.00% 0.00% 0.00% 0 SISF HA Process 66 0 1 0 0.00% 0.00% 0.00% 0 Network-rf Notif 67 133959 834454 160 1.83% 1.82% 1.80% 0 Cat4k Mgmt HiPri 68 143488 312016 459 1.67% 1.70% 1.70% 0 Cat4k Mgmt LoPri 69 0 868 0 0.00% 0.00% 0.00% 0 Galios Reschedul 70 1629 1035 1573 0.00% 0.00% 0.00% 0 GaliosObflLogger 71 0 1 0 0.00% 0.00% 0.00% 0 IOS ACL Helper 72 280 28 10000 0.00% 0.00% 0.00% 0 GaliosQuack_help 73 0 11 0 0.00% 0.00% 0.00% 0 RF Master Main T 74 0 7 0 0.00% 0.00% 0.00% 0 RF Master Status 75 40 2805 14 0.00% 0.00% 0.00% 0 Net Input 76 0 3388 0 0.00% 0.00% 0.00% 0 Compute load avg 77 7848 635 12359 0.00% 0.02% 0.00% 0 Per-minute Jobs 78 132 16926 7 0.00% 0.00% 0.00% 0 Per-Second Jobs 79 0 1 0 0.00% 0.00% 0.00% 0 GaliosQuack_sudi 80 0 1 0 0.00% 0.00% 0.00% 0 AggMgr Process 81 0 14 0 0.00% 0.00% 0.00% 0 Transport Port A 82 4 5055 0 0.00% 0.00% 0.00% 0 HC Counter Timer 83 0 1 0 0.00% 0.00% 0.00% 0 SFF8472 84 168 5617 29 0.00% 0.00% 0.00% 0 EEM ED ND 85 0 2 0 0.00% 0.00% 0.00% 0 EEM ED Identity 86 0 3 0 0.00% 0.00% 0.00% 0 EEM ED MAT 87 0 2 0 0.00% 0.00% 0.00% 0 Ethernet CFM 88 8 112545 0 0.00% 0.00% 0.00% 0 Ethernet Timer C 89 292 2177404 0 0.07% 0.09% 0.08% 0 Ethernet Msec Ti 90 0 2 0 0.00% 0.00% 0.00% 0 Ethernet OAM Pro 91 0 1 0 0.00% 0.00% 0.00% 0 PPPOE IA 92 0 2 0 0.00% 0.00% 0.00% 0 REP Topology cha 93 0 3 0 0.00% 0.00% 0.00% 0 Netflow-lite Exp 94 0 1 0 0.00% 0.00% 0.00% 0 Netflow-Lite 95 128 17992 7 0.00% 0.00% 0.00% 0 Auth Manager 96 0 2 0 0.00% 0.00% 0.00% 0 CMD HANDLER 97 4 166 24 0.00% 0.00% 0.00% 0 Dot1x Mgr Proces 98 0 1 0 0.00% 0.00% 0.00% 0 AUTH POLICY Fram 99 0 1 0 0.00% 0.00% 0.00% 0 802.1x Webauth F 100 72 1340 53 0.00% 0.00% 0.00% 0 802.1x switch 101 28 2450 11 0.00% 0.00% 0.00% 0 DTP Protocol 102 48 725 66 0.00% 0.00% 0.00% 0 EAP Framework 103 0 1 0 0.00% 0.00% 0.00% 0 EAP Test 104 0 283 0 0.00% 0.00% 0.00% 0 Port-Security 105 0 2 0 0.00% 0.00% 0.00% 0 EFP Errd 106 0 29 0 0.00% 0.00% 0.00% 0 Qos If Bw Change 107 264 168468 1 0.00% 0.03% 0.02% 0 UDLD 108 0 2 0 0.00% 0.00% 0.00% 0 IP Host Track Pr 109 0 1 0 0.00% 0.00% 0.00% 0 Switch Backup In 110 0 281 0 0.00% 0.00% 0.00% 0 MMN bkgrd proces 111 0 3 0 0.00% 0.00% 0.00% 0 CEF switching ba 112 0 1 0 0.00% 0.00% 0.00% 0 ADJ NSF process 113 0 2 0 0.00% 0.00% 0.00% 0 EAPoUDP Process 114 0 5 0 0.00% 0.00% 0.00% 0 SXP CORE 115 12 196 61 0.00% 0.00% 0.00% 0 AAA Server 116 0 1 0 0.00% 0.00% 0.00% 0 AAA ACCT Proc 117 0 1 0 0.00% 0.00% 0.00% 0 ACCT Periodic Pr 118 0 1 0 0.00% 0.00% 0.00% 0 AAA System Acct 119 0 1 0 0.00% 0.00% 0.00% 0 Auth-proxy AAA B 120 0 57 0 0.00% 0.00% 0.00% 0 IP Admin SM Proc 121 969 25243 38 0.00% 0.02% 0.00% 0 CDP Protocol 122 32 37 864 0.00% 0.00% 0.00% 0 SpanTree Helper 124 0 2 0 0.00% 0.00% 0.00% 0 AAA Dictionary R 125 0 141 0 0.00% 0.00% 0.00% 0 DHCP Snooping 126 0 1 0 0.00% 0.00% 0.00% 0 DHCP Snooping db 127 0 3 0 0.00% 0.00% 0.00% 0 IP ARP Adjacency 128 140 525231 0 0.00% 0.01% 0.00% 0 IP ARP Retry Age 129 228 8842 25 0.00% 0.00% 0.00% 0 IP Input 130 0 1 0 0.00% 0.00% 0.00% 0 ICMP event handl 131 4 32893 0 0.00% 0.00% 0.00% 0 IP ARP Track 132 0 1 0 0.00% 0.00% 0.00% 0 IPv6 ping proces 133 772 662 1166 0.00% 0.65% 0.14% 1 Virtual Exec 134 0 1 0 0.00% 0.00% 0.00% 0 SMI Director DB 135 0 1 0 0.00% 0.00% 0.00% 0 SMI CDP Update H 136 0 1 0 0.00% 0.00% 0.00% 0 SMI Backup Proce 137 4610 90352 51 0.00% 0.01% 0.00% 0 Spanning Tree 138 0 1687 0 0.00% 0.00% 0.00% 0 Ethchnl 139 12 874 13 0.00% 0.00% 0.00% 0 TCP Timer 140 0 16 0 0.00% 0.00% 0.00% 0 TCP Protocols 141 0 16847 0 0.00% 0.00% 0.00% 0 Socket Timers 142 0 57 0 0.00% 0.00% 0.00% 0 HTTP CORE 143 0 213 0 0.00% 0.00% 0.00% 0 Cluster L2 144 0 1685 0 0.00% 0.00% 0.00% 0 Cluster RARP 145 0 282 0 0.00% 0.00% 0.00% 0 CEF background p 146 0 1 0 0.00% 0.00% 0.00% 0 fib_fib_bfd_sb e 147 0 1 0 0.00% 0.00% 0.00% 0 IP IRDP 148 0 1 0 0.00% 0.00% 0.00% 0 COPS 149 0 2 0 0.00% 0.00% 0.00% 0 ECFM HA IPC flow 150 0 1 0 0.00% 0.00% 0.00% 0 Probe Input 151 0 1 0 0.00% 0.00% 0.00% 0 XDR background p 152 0 281 0 0.00% 0.00% 0.00% 0 XDR mcast 153 0 1 0 0.00% 0.00% 0.00% 0 XDR RP Ping Back 154 0 1 0 0.00% 0.00% 0.00% 0 XDR receive 155 0 1 0 0.00% 0.00% 0.00% 0 IPC LC Message H 156 0 1 0 0.00% 0.00% 0.00% 0 XDR RP Test Back 157 0 60 0 0.00% 0.00% 0.00% 0 MFIB Master back 158 0 1 0 0.00% 0.00% 0.00% 0 MRIB RP Proxy 159 80 550 145 0.00% 0.00% 0.00% 0 RADIUS 160 0 3 0 0.00% 0.00% 0.00% 0 ADJ background 161 0 1 0 0.00% 0.00% 0.00% 0 RARP Input 162 0 2 0 0.00% 0.00% 0.00% 0 XDR FOF process 163 0 2 0 0.00% 0.00% 0.00% 0 REP LSL Proc 164 0 2 0 0.00% 0.00% 0.00% 0 REP BPA/EPA Proc 165 0 1 0 0.00% 0.00% 0.00% 0 Critical Auth 166 0 2 0 0.00% 0.00% 0.00% 0 Dot1x Supplicant 167 0 2 0 0.00% 0.00% 0.00% 0 Dot1x Supplicant 168 0 2 0 0.00% 0.00% 0.00% 0 Dot1x Supplicant 169 0 45 0 0.00% 0.00% 0.00% 0 MAB Framework 170 0 48 0 0.00% 0.00% 0.00% 0 IGMPSN L2MCM 171 0 1 0 0.00% 0.00% 0.00% 0 IGMPSN MRD 172 4 384 10 0.00% 0.00% 0.00% 0 IGMPSN 173 0 1 0 0.00% 0.00% 0.00% 0 IGMPQR 174 0 1 0 0.00% 0.00% 0.00% 0 IGMPSN-HA 175 8 41 195 0.00% 0.00% 0.00% 0 MLDSN L2MCM 176 0 1 0 0.00% 0.00% 0.00% 0 MRD 177 0 1 0 0.00% 0.00% 0.00% 0 MLD_SNOOP 178 0 1 0 0.00% 0.00% 0.00% 0 MLDSN-HA 179 0 3 0 0.00% 0.00% 0.00% 0 L2TRACE SERVER 180 0 1 0 0.00% 0.00% 0.00% 0 DHCP Snooping HA 181 0 3 0 0.00% 0.00% 0.00% 0 Flow Exporter Ti 182 0 1 0 0.00% 0.00% 0.00% 0 DSENSOR HA 183 0 1 0 0.00% 0.00% 0.00% 0 AAA HA 184 0 1 0 0.00% 0.00% 0.00% 0 AAA HA cleanup 185 0 2 0 0.00% 0.00% 0.00% 0 Routing Topology 186 0 4 0 0.00% 0.00% 0.00% 0 IP RIB Update 187 0 3 0 0.00% 0.00% 0.00% 0 Collection proce 188 4 17773 0 0.00% 0.00% 0.00% 0 NTP 189 0 2 0 0.00% 0.00% 0.00% 0 static 190 0 2 0 0.00% 0.00% 0.00% 0 ADJ resolve proc 191 0 5 0 0.00% 0.00% 0.00% 0 SNMP Timers 192 4 33694 0 0.00% 0.00% 0.00% 0 DHCPD Receive 193 0 1 0 0.00% 0.00% 0.00% 0 IPv6 RIB Cleanup 194 0 2 0 0.00% 0.00% 0.00% 0 Multicast Offloa 195 0 22 0 0.00% 0.00% 0.00% 0 MVPN mgr Process 196 0 3 0 0.00% 0.00% 0.00% 0 IPv6 RIB Event H 197 0 1685 0 0.00% 0.00% 0.00% 0 QoS stats proces 198 0 1 0 0.00% 0.00% 0.00% 0 CFMPAL Process 199 0 2 0 0.00% 0.00% 0.00% 0 TPLUS 200 4 36 111 0.00% 0.00% 0.00% 0 EPM MAIN PROCESS 201 0 2 0 0.00% 0.00% 0.00% 0 AAA Cached Serve 202 0 1 0 0.00% 0.00% 0.00% 0 EM Background Pr 203 0 33 0 0.00% 0.00% 0.00% 0 LOCAL AAA 204 0 2 0 0.00% 0.00% 0.00% 0 ENABLE AAA 205 0 2 0 0.00% 0.00% 0.00% 0 LINE AAA 206 0 3 0 0.00% 0.00% 0.00% 0 LDAP process 208 0 16 0 0.00% 0.00% 0.00% 0 NIST rng proc 209 0 2 0 0.00% 0.00% 0.00% 0 PIM HA 210 0 2 0 0.00% 0.00% 0.00% 0 REP Switch Helpe 211 0 2 0 0.00% 0.00% 0.00% 0 crypto engine pr 212 0 1 0 0.00% 0.00% 0.00% 0 encrypt proc 213 0 18 0 0.00% 0.00% 0.00% 0 crypto sw pk pro 214 0 4 0 0.00% 0.00% 0.00% 0 Crypto CA 215 0 1 0 0.00% 0.00% 0.00% 0 Crypto PKI-CRL 216 288 41 7024 0.00% 0.00% 0.00% 0 OBFL UPTIME slot 217 0 2 0 0.00% 0.00% 0.00% 0 DHCP Security He 218 0 2 0 0.00% 0.00% 0.00% 0 DiagCard1/-1 219 0 2 0 0.00% 0.00% 0.00% 0 DiagCard2/-1 220 24 90552 0 0.00% 0.00% 0.00% 0 PM Callback 221 0 1349 0 0.00% 0.00% 0.00% 0 dhcp snooping sw 222 0 4 0 0.00% 0.00% 0.00% 0 VTP Trap Process 223 0 43 0 0.00% 0.00% 0.00% 0 OBFL UPTIME slot 225 0 181 0 0.00% 0.00% 0.00% 0 EEM ED Syslog 226 4 84 47 0.00% 0.00% 0.00% 0 ASP Process Crea 227 0 2 0 0.00% 0.00% 0.00% 0 CTS HA 228 0 52 0 0.00% 0.00% 0.00% 0 AAA SEND STOP EV 229 0 1 0 0.00% 0.00% 0.00% 0 Test AAA Client 230 0 1 0 0.00% 0.00% 0.00% 0 Syslog Traps 231 0 145 0 0.00% 0.00% 0.00% 0 DHCPD Timer 232 0 3 0 0.00% 0.00% 0.00% 0 SPAN switch 233 0 16 0 0.00% 0.00% 0.00% 0 EEM Server 234 8 362 22 0.00% 0.00% 0.00% 0 Dot1x Authentica 235 0 3 0 0.00% 0.00% 0.00% 0 EEM ED OIR 236 0 437 0 0.00% 0.00% 0.00% 0 EEM ED Timer 237 0 2 0 0.00% 0.00% 0.00% 0 EEM Policy Direc 238 0 5 0 0.00% 0.00% 0.00% 0 RBM CORE 239 160 1275 125 0.00% 0.00% 0.00% 0 Syslog 240 4 4 1000 0.00% 0.00% 0.00% 0 VLAN Manager 241 24 709 33 0.00% 0.00% 0.00% 0 Dot1x Authentica 242 1056 18842 56 0.00% 0.00% 0.00% 0 IP SNMP 243 176 6624 26 0.00% 0.00% 0.00% 0 PDU DISPATCHER 244 892 6636 134 0.00% 0.00% 0.00% 0 SNMP ENGINE 245 0 2 0 0.00% 0.00% 0.00% 0 IP SNMPV6 246 0 1 0 0.00% 0.00% 0.00% 0 SNMP ConfCopyPro 247 88 135 651 0.00% 0.00% 0.00% 0 SNMP Traps 248 0 142 0 0.00% 0.00% 0.00% 0 MAC Notification 249 0 8424 0 0.00% 0.00% 0.00% 0 System polling 250 4 10 400 0.00% 0.00% 0.00% 0 OBFL ENV slot-2 251 0 287 0 0.00% 0.00% 0.00% 0 DHCPD Database 252 0 281 0 0.00% 0.00% 0.00% 0 RADIUS IO STATS 253 4 17 235 0.00% 0.00% 0.00% 0 OBFL MSG slot-2 254 0 356 0 0.00% 0.00% 0.00% 0 OBFL TEMP slot-2 255 32 5 6400 0.00% 0.00% 0.00% 0 OBFL ENV slot-1 256 52 10 5200 0.00% 0.00% 0.00% 0 OBFL MSG slot-1 257 60 7 8571 0.00% 0.00% 0.00% 0 OBFL INTR slot-1 258 1028 353 2912 0.00% 0.00% 0.00% 0 OBFL TEMP slot-1 ------------------ show process cpu history ------------------ 11111 443333344444444443333355555444444444444444333334444444444333 100 90 80 70 60 50 40 30 20 10 ***** ***** 0....5....1....1....2....2....3....3....4....4....5....5....6 0 5 0 5 0 5 0 5 0 5 0 CPU% per second (last 60 seconds) 455445555554655455555555554555555445546554545444555555555555 100 90 80 70 60 50 40 30 20 10 ** ****** *** ********** ****** ** *** * * ********** 0....5....1....1....2....2....3....3....4....4....5....5....6 0 5 0 5 0 5 0 5 0 5 0 CPU% per minute (last 60 minutes) * = maximum CPU% # = average CPU% 6 5656 100 90 80 70 * 60 * 50 * 40 * 30 * 20 * 10 **** 0....5....1....1....2....2....3....3....4....4....5....5....6....6....7.. 0 5 0 5 0 5 0 5 0 5 0 5 0 CPU% per hour (last 72 hours) * = maximum CPU% # = average CPU% ------------------ show cdp neighbors detail ------------------ ------------------------- Device ID: con-bf3-1 Entry address(es): IP address: 20.32.11.250 Platform: cisco WS-C6506-E, Capabilities: Router Switch IGMP Interface: GigabitEthernet1/3, Port ID (outgoing port): GigabitEthernet2/2/27 Holdtime : 135 sec Version : Cisco IOS Software, s72033_rp Software (s72033_rp-ADVENTERPRISEK9_WAN-M), Version 12.2(33)SXI13, RELEASE SOFTWARE (fc3) Technical Support: http://www.cisco.com/techsupport Copyright (c) 1986-2014 by Cisco Systems, Inc. Compiled Tue 11-Mar-14 04:53 by prod_rel_team advertisement version: 2 VTP Management Domain: 'LG' Native VLAN: 1 Duplex: full Management address(es): IP address: 20.32.11.250 Unidirectional Mode: off ------------------------- Device ID: con-bf3-1 Entry address(es): IP address: 20.32.11.250 Platform: cisco WS-C6506-E, Capabilities: Router Switch IGMP Interface: GigabitEthernet1/4, Port ID (outgoing port): GigabitEthernet1/2/27 Holdtime : 141 sec Version : Cisco IOS Software, s72033_rp Software (s72033_rp-ADVENTERPRISEK9_WAN-M), Version 12.2(33)SXI13, RELEASE SOFTWARE (fc3) Technical Support: http://www.cisco.com/techsupport Copyright (c) 1986-2014 by Cisco Systems, Inc. Compiled Tue 11-Mar-14 04:53 by prod_rel_team advertisement version: 2 VTP Management Domain: 'LG' Native VLAN: 1 Duplex: full Management address(es): IP address: 20.32.11.250 Unidirectional Mode: off ------------------------- Device ID: SEP002699EE411F Entry address(es): IP address: 10.113.8.18 Platform: Cisco IP Phone 7962, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/11, Port ID (outgoing port): Port 1 Holdtime : 151 sec Second Port Status: Down Version : SCCP42.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 6.300 Watts Power request id: 16671, Power management id: 3 Power request levels are:6300 0 0 0 0 Management address(es): ------------------------- Device ID: SEP002699EE463A Entry address(es): IP address: 10.113.8.19 Platform: Cisco IP Phone 7962, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/2, Port ID (outgoing port): Port 1 Holdtime : 152 sec Second Port Status: Down Version : SCCP42.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 6.300 Watts Power request id: 17978, Power management id: 3 Power request levels are:6300 0 0 0 0 Management address(es): ------------------------- Device ID: SEP002699EE224A Entry address(es): IP address: 10.113.8.14 Platform: Cisco IP Phone 7962, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/14, Port ID (outgoing port): Port 1 Holdtime : 151 sec Second Port Status: Up Version : SCCP42.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 6.300 Watts Power request id: 8778, Power management id: 3 Power request levels are:6300 0 0 0 0 Management address(es): ------------------------- Device ID: SEP002699EE496A Entry address(es): IP address: 10.113.8.21 Platform: Cisco IP Phone 7962, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/4, Port ID (outgoing port): Port 1 Holdtime : 151 sec Second Port Status: Down Version : SCCP42.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 6.300 Watts Power request id: 18794, Power management id: 3 Power request levels are:6300 0 0 0 0 Management address(es): ------------------------- Device ID: SEP64168D51A4A7 Entry address(es): IP address: 10.113.8.16 Platform: Cisco IP Phone 7911, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/22, Port ID (outgoing port): Port 1 Holdtime : 150 sec Second Port Status: Down Version : SCCP11.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 5.000 Watts Power request id: 42151, Power management id: 3 Power request levels are:5000 0 0 0 0 Management address(es): ------------------------- Device ID: SEP64168D502027 Entry address(es): IP address: 10.113.8.24 Platform: Cisco IP Phone 7965, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/16, Port ID (outgoing port): Port 1 Holdtime : 151 sec Second Port Status: Down Version : SCCP45.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 12.000 Watts Power request id: 8231, Power management id: 3 Power request levels are:12000 0 0 0 0 Management address(es): ------------------------- Device ID: SEP64168D51A4B1 Entry address(es): IP address: 10.113.8.12 Platform: Cisco IP Phone 7911, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/19, Port ID (outgoing port): Port 1 Holdtime : 150 sec Second Port Status: Down Version : SCCP11.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 5.000 Watts Power request id: 42161, Power management id: 3 Power request levels are:5000 0 0 0 0 Management address(es): ------------------------- Device ID: SEP002699F039BC Entry address(es): IP address: 10.113.8.15 Platform: Cisco IP Phone 7962, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/43, Port ID (outgoing port): Port 1 Holdtime : 151 sec Second Port Status: Up Version : SCCP42.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 6.300 Watts Power request id: 14780, Power management id: 3 Power request levels are:6300 0 0 0 0 Management address(es): ------------------------- Device ID: SEP002699EEBA06 Entry address(es): IP address: 10.113.8.17 Platform: Cisco IP Phone 7962, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/28, Port ID (outgoing port): Port 1 Holdtime : 151 sec Second Port Status: Up Version : SCCP42.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 6.300 Watts Power request id: 47622, Power management id: 3 Power request levels are:6300 0 0 0 0 Management address(es): ------------------------- Device ID: SEP002699EE42EF Entry address(es): IP address: 10.113.8.13 Platform: Cisco IP Phone 7962, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/18, Port ID (outgoing port): Port 1 Holdtime : 151 sec Second Port Status: Down Version : SCCP42.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 6.300 Watts Power request id: 17135, Power management id: 3 Power request levels are:6300 0 0 0 0 Management address(es): ------------------------- Device ID: DV.3.U1.V1.2.F1 Entry address(es): IP address: 10.120.0.22 Platform: cisco AIR-LAP1142N-E-K9, Capabilities: Router Trans-Bridge Interface: GigabitEthernet2/37, Port ID (outgoing port): GigabitEthernet0.1 Holdtime : 136 sec Version : Cisco IOS Software, C1140 Software (C1140-K9W8-M), Version 15.2(4)JB5, RELEASE SOFTWARE (fc1) Technical Support: http://www.cisco.com/techsupport Copyright (c) 1986-2014 by Cisco Systems, Inc. Compiled Thu 01-May-14 23:13 by prod_rel_team advertisement version: 2 Duplex: full Power drawn: 15.400 Watts Power request id: 11003, Power management id: 2 Power request levels are:15400 14500 0 0 0 Management address(es): ------------------------- Device ID: VG202-5086-u1vb3 Entry address(es): IP address: 10.113.11.254 Platform: Cisco VG202, Capabilities: Router Interface: GigabitEthernet2/12, Port ID (outgoing port): FastEthernet0/0 Holdtime : 142 sec Version : Cisco IOS Software, VG20X Software (VG20X-IPVOICE-M), Version 12.4(22)T4, RELEASE SOFTWARE (fc2) Technical Support: http://www.cisco.com/techsupport Copyright (c) 1986-2009 by Cisco Systems, Inc. Compiled Tue 15-Dec-09 05:05 by prod_rel_team advertisement version: 2 Duplex: full Management address(es): ------------------------- Device ID: DV.3.U1.V1.2.F2 Entry address(es): IP address: 10.120.0.39 Platform: cisco AIR-LAP1142N-E-K9, Capabilities: Router Trans-Bridge Interface: GigabitEthernet2/39, Port ID (outgoing port): GigabitEthernet0.1 Holdtime : 176 sec Version : Cisco IOS Software, C1140 Software (C1140-K9W8-M), Version 15.2(4)JB5, RELEASE SOFTWARE (fc1) Technical Support: http://www.cisco.com/techsupport Copyright (c) 1986-2014 by Cisco Systems, Inc. Compiled Thu 01-May-14 23:13 by prod_rel_team advertisement version: 2 Duplex: full Power drawn: 15.400 Watts Power request id: 61100, Power management id: 2 Power request levels are:15400 14500 0 0 0 Management address(es): ------------------------- Device ID: DV.3.U1.V1.2.F3 Entry address(es): IP address: 10.120.0.179 Platform: cisco AIR-LAP1142N-E-K9, Capabilities: Router Trans-Bridge Interface: GigabitEthernet2/40, Port ID (outgoing port): GigabitEthernet0.1 Holdtime : 133 sec Version : Cisco IOS Software, C1140 Software (C1140-K9W8-M), Version 15.2(4)JB5, RELEASE SOFTWARE (fc1) Technical Support: http://www.cisco.com/techsupport Copyright (c) 1986-2014 by Cisco Systems, Inc. Compiled Thu 01-May-14 23:13 by prod_rel_team advertisement version: 2 Duplex: full Power drawn: 15.400 Watts Power request id: 52502, Power management id: 2 Power request levels are:15400 14500 0 0 0 Management address(es): ------------------------- Device ID: DV.3.U1.V1.2.F4 Entry address(es): IP address: 10.120.0.153 Platform: cisco AIR-LAP1142N-E-K9, Capabilities: Router Trans-Bridge Interface: GigabitEthernet2/42, Port ID (outgoing port): GigabitEthernet0.1 Holdtime : 127 sec Version : Cisco IOS Software, C1140 Software (C1140-K9W8-M), Version 15.2(4)JB5, RELEASE SOFTWARE (fc1) Technical Support: http://www.cisco.com/techsupport Copyright (c) 1986-2014 by Cisco Systems, Inc. Compiled Thu 01-May-14 23:13 by prod_rel_team advertisement version: 2 Duplex: full Power drawn: 15.400 Watts Power request id: 19794, Power management id: 2 Power request levels are:15400 14500 0 0 0 Management address(es): ------------------------- Device ID: DV.3.U1.V1.2.F5 Entry address(es): IP address: 10.120.0.48 Platform: cisco AIR-LAP1142N-E-K9, Capabilities: Router Trans-Bridge Interface: GigabitEthernet2/15, Port ID (outgoing port): GigabitEthernet0.1 Holdtime : 146 sec Version : Cisco IOS Software, C1140 Software (C1140-K9W8-M), Version 15.2(4)JB5, RELEASE SOFTWARE (fc1) Technical Support: http://www.cisco.com/techsupport Copyright (c) 1986-2014 by Cisco Systems, Inc. Compiled Thu 01-May-14 23:13 by prod_rel_team advertisement version: 2 Duplex: full Power drawn: 15.400 Watts Power request id: 61843, Power management id: 2 Power request levels are:15400 14500 0 0 0 Management address(es): ------------------------- Device ID: SEP002699EE2085 Entry address(es): IP address: 10.113.8.11 Platform: Cisco IP Phone 7962, Capabilities: Host Phone Two-port Mac Relay Interface: GigabitEthernet2/6, Port ID (outgoing port): Port 1 Holdtime : 151 sec Second Port Status: Up Version : SCCP42.8-5-2SR1S advertisement version: 2 Duplex: full Power drawn: 6.300 Watts Power request id: 8325, Power management id: 3 Power request levels are:6300 0 0 0 0 Management address(es): ------------------ show diagnostic result module all detail ------------------ Current bootup diagnostic level: minimal module 1: SerialNo : JAE14020672 Overall Diagnostic Result for module 1 : PASS Diagnostic level at card bootup: minimal Test results: (. = Pass, F = Fail, U = Untested) ___________________________________________________________________________ 1) supervisor-bootup ---------------> . Error code ------------------> 0 (DIAG_SUCCESS) Total run count -------------> 1 Last test testing type ------> n/a Last test execution time ----> Mar 28 2015 08:55:40 First test failure time -----> n/a Last test failure time ------> n/a Last test pass time ---------> Mar 28 2015 08:55:40 Total failure count ---------> 0 Consecutive failure count ---> 0 Power-On-Self-Test Results for ACTIVE Supervisor prod: WS-X45-SUP6L-E part: 73-12088-05 serial: JAE14020672 Power-on-self-test for Module 1: WS-X45-SUP6L-E CPU Subsystem Tests ... seeprom: Pass Traffic: L3 Looopback ... Test Results: Pass Traffic: L2 Loopback ... Test Results: Pass Switching Subsystem Memory ... Packet Memory Test Results: Pass Module 1 Passed ___________________________________________________________________________ 2) linecard-online-diag ------------> . Error code ------------------> 0 (DIAG_SUCCESS) Total run count -------------> 1 Last test testing type ------> n/a Last test execution time ----> Mar 28 2015 08:55:40 First test failure time -----> n/a Last test failure time ------> n/a Last test pass time ---------> Mar 28 2015 08:55:40 Total failure count ---------> 0 Consecutive failure count ---> 0 Slot Ports Card Type Diag Status Diag Details ---- ----- -------------------------------------- ---------------- ------------ 1 6 Sup 6L-E 10GE (X2), 1000BaseX (SFP) Skipped Packet memory Detailed Status --------------- . = Pass U = Unknown L = Loopback failure S = Stub failure P = Port failure E = SEEPROM failure G = GBIC integrity check failure Ports 1 2 3 4 5 6 . . . . . . ___________________________________________________________________________ module 2: SerialNo : JAE14020HCM Overall Diagnostic Result for module 2 : PASS Diagnostic level at card bootup: minimal Test results: (. = Pass, F = Fail, U = Untested) ___________________________________________________________________________ 1) linecard-online-diag ------------> . Error code ------------------> 0 (DIAG_SUCCESS) Total run count -------------> 1 Last test testing type ------> n/a Last test execution time ----> Mar 28 2015 08:55:40 First test failure time -----> n/a Last test failure time ------> n/a Last test pass time ---------> Mar 28 2015 08:55:40 Total failure count ---------> 0 Consecutive failure count ---> 0 Slot Ports Card Type Diag Status Diag Details ---- ----- -------------------------------------- ---------------- ------------ 2 48 10/100/1000BaseT Premium POE E Series Passed None Detailed Status --------------- . = Pass U = Unknown L = Loopback failure S = Stub failure P = Port failure E = SEEPROM failure G = GBIC integrity check failure Ports 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 . . . . . . . . . . . . . . . . Ports 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 . . . . . . . . . . . . . . . . Ports 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 . . . . . . . . . . . . . . . . ___________________________________________________________________________ ------------------ show environment ------------------ no temperature alarms Module Sensor Temperature Status ------+--------------------------+--------------------+------------ 1 air inlet 25C (51C,65C,68C) ok 1 air outlet 38C (69C,83C,86C) ok 2 air inlet 27C (45C,60C,70C) ok 2 air outlet 31C (61C,76C,86C) ok Power Fan Inline Supply Model No Type Status Sensor Status ------ ---------------- --------- ----------- ------- ------- PS1 PWR-C45-1300ACV AC 1300W good good good PS2 none -- -- -- -- -- Power supplies needed by system : 1 Power supplies currently available : 1 Chassis Type : WS-C4503-E Power consumed by backplane : 0 Watts Switch Bandwidth Utilization : 0% Supervisor Led Color : Green Module 1 Status Led Color : Green Module 2 Status Led Color : Green PoE Led Color : Green Fantray : Good Fantray removal timeout : 100 Power consumed by Fantray : 60 Watts ------------------ show interfaces counters errors ------------------ Port CrcAlign-Err Dropped-Bad-Pkts Collisions Symbol-Err Te1/1 0 0 0 0 Te1/2 0 0 0 0 Gi1/3 0 0 0 0 Gi1/4 0 0 0 0 Gi1/5 0 0 0 0 Gi1/6 0 0 0 0 Gi2/1 0 0 0 0 Gi2/2 0 0 0 0 Gi2/3 0 0 0 0 Gi2/4 0 0 0 0 Gi2/5 0 0 0 0 Gi2/6 0 0 0 0 Gi2/7 0 0 0 0 Gi2/8 0 0 0 0 Gi2/9 0 0 0 0 Gi2/10 0 0 0 0 Gi2/11 0 0 0 0 Gi2/12 0 0 0 0 Gi2/13 0 0 0 0 Gi2/14 0 0 0 0 Gi2/15 0 0 0 0 Gi2/16 0 0 0 0 Gi2/17 0 0 0 0 Gi2/18 0 0 0 0 Gi2/19 0 0 0 0 Gi2/20 0 0 0 0 Gi2/21 0 0 0 0 Gi2/22 0 0 0 0 Gi2/23 0 0 0 0 Gi2/24 0 0 0 0 Gi2/25 0 0 0 0 Gi2/26 0 0 0 0 Gi2/27 0 0 0 0 Gi2/28 0 0 0 0 Gi2/29 0 0 0 0 Gi2/30 0 0 0 0 Gi2/31 0 0 0 0 Gi2/32 0 0 0 0 Gi2/33 0 0 0 0 Gi2/34 0 0 0 0 Gi2/35 0 0 0 0 Gi2/36 0 0 0 0 Gi2/37 0 0 0 0 Gi2/38 0 0 0 0 Gi2/39 0 0 0 0 Gi2/40 0 0 0 0 Gi2/41 0 0 0 0 Gi2/42 0 0 0 0 Gi2/43 0 0 0 0 Gi2/44 0 0 0 0 Gi2/45 0 0 0 0 Gi2/46 0 0 0 0 Gi2/47 0 0 0 0 Gi2/48 0 0 0 0 Po1 0 0 0 0 Port Undersize Oversize Fragments Jabbers Te1/1 0 0 0 0 Te1/2 0 0 0 0 Gi1/3 0 0 0 0 Gi1/4 0 0 0 0 Gi1/5 0 0 0 0 Gi1/6 0 0 0 0 Gi2/1 0 0 0 0 Gi2/2 0 0 0 0 Gi2/3 0 0 0 0 Gi2/4 0 0 0 0 Gi2/5 0 0 0 0 Gi2/6 0 0 0 0 Gi2/7 0 0 0 0 Gi2/8 0 0 0 0 Gi2/9 0 0 0 0 Gi2/10 0 0 0 0 Gi2/11 0 0 0 0 Gi2/12 0 0 0 0 Gi2/13 0 0 0 0 Gi2/14 0 0 0 0 Gi2/15 0 0 0 0 Gi2/16 0 0 0 0 Gi2/17 0 0 0 0 Gi2/18 0 0 0 0 Gi2/19 0 0 0 0 Gi2/20 0 0 0 0 Gi2/21 0 0 0 0 Gi2/22 0 0 0 0 Gi2/23 0 0 0 0 Gi2/24 0 0 0 0 Gi2/25 0 0 0 0 Gi2/26 0 0 0 0 Gi2/27 0 0 0 0 Gi2/28 0 0 0 0 Gi2/29 0 0 0 0 Gi2/30 0 0 0 0 Gi2/31 0 0 0 0 Gi2/32 0 0 0 0 Gi2/33 0 0 0 0 Gi2/34 0 0 0 0 Gi2/35 0 0 0 0 Gi2/36 0 0 0 0 Gi2/37 0 0 0 0 Gi2/38 0 0 0 0 Gi2/39 0 0 0 0 Gi2/40 0 0 0 0 Gi2/41 0 0 0 0 Gi2/42 0 0 0 0 Gi2/43 0 0 0 0 Gi2/44 0 0 0 0 Gi2/45 0 0 0 0 Gi2/46 0 0 0 0 Gi2/47 0 0 0 0 Gi2/48 0 0 0 0 Po1 0 0 0 0 Port Single-Col Multi-Col Late-Col Excess-Col Te1/1 0 0 0 0 Te1/2 0 0 0 0 Gi1/3 0 0 0 0 Gi1/4 0 0 0 0 Gi1/5 0 0 0 0 Gi1/6 0 0 0 0 Gi2/1 0 0 0 0 Gi2/2 0 0 0 0 Gi2/3 0 0 0 0 Gi2/4 0 0 0 0 Gi2/5 0 0 0 0 Gi2/6 0 0 0 0 Gi2/7 0 0 0 0 Gi2/8 0 0 0 0 Gi2/9 0 0 0 0 Gi2/10 0 0 0 0 Gi2/11 0 0 0 0 Gi2/12 0 0 0 0 Gi2/13 0 0 0 0 Gi2/14 0 0 0 0 Gi2/15 0 0 0 0 Gi2/16 0 0 0 0 Gi2/17 0 0 0 0 Gi2/18 0 0 0 0 Gi2/19 0 0 0 0 Gi2/20 0 0 0 0 Gi2/21 0 0 0 0 Gi2/22 0 0 0 0 Gi2/23 0 0 0 0 Gi2/24 0 0 0 0 Gi2/25 0 0 0 0 Gi2/26 0 0 0 0 Gi2/27 0 0 0 0 Gi2/28 0 0 0 0 Gi2/29 0 0 0 0 Gi2/30 0 0 0 0 Gi2/31 0 0 0 0 Gi2/32 0 0 0 0 Gi2/33 0 0 0 0 Gi2/34 0 0 0 0 Gi2/35 0 0 0 0 Gi2/36 0 0 0 0 Gi2/37 0 0 0 0 Gi2/38 0 0 0 0 Gi2/39 0 0 0 0 Gi2/40 0 0 0 0 Gi2/41 0 0 0 0 Gi2/42 0 0 0 0 Gi2/43 0 0 0 0 Gi2/44 0 0 0 0 Gi2/45 0 0 0 0 Gi2/46 0 0 0 0 Gi2/47 0 0 0 0 Gi2/48 0 0 0 0 Po1 0 0 0 0 Port Deferred-Col False-Car Carri-Sen Sequence-Err Te1/1 0 0 0 0 Te1/2 0 0 0 0 Gi1/3 0 0 0 0 Gi1/4 0 0 0 0 Gi1/5 0 0 0 0 Gi1/6 0 0 0 0 Gi2/1 0 0 0 0 Gi2/2 0 0 0 0 Gi2/3 0 0 0 0 Gi2/4 0 0 0 0 Gi2/5 0 0 0 0 Gi2/6 0 0 0 0 Gi2/7 0 0 0 0 Gi2/8 0 0 0 0 Gi2/9 0 0 0 0 Gi2/10 0 0 0 0 Gi2/11 0 0 0 0 Gi2/12 0 0 0 0 Gi2/13 0 0 0 0 Gi2/14 0 0 0 0 Gi2/15 0 0 0 0 Gi2/16 0 0 0 0 Gi2/17 0 0 0 0 Gi2/18 0 0 0 0 Gi2/19 0 0 0 0 Gi2/20 0 0 0 0 Gi2/21 0 0 0 0 Gi2/22 0 0 0 0 Gi2/23 0 0 0 0 Gi2/24 0 0 0 0 Gi2/25 0 0 0 0 Gi2/26 0 0 0 0 Gi2/27 0 0 0 0 Gi2/28 0 0 0 0 Gi2/29 0 0 0 0 Gi2/30 0 0 0 0 Gi2/31 0 0 0 0 Gi2/32 0 0 0 0 Gi2/33 0 0 0 0 Gi2/34 0 0 0 0 Gi2/35 0 0 0 0 Gi2/36 0 0 0 0 Gi2/37 0 0 0 0 Gi2/38 0 0 0 0 Gi2/39 0 0 0 0 Gi2/40 0 0 0 0 Gi2/41 0 0 0 0 Gi2/42 0 0 0 0 Gi2/43 0 0 0 0 Gi2/44 0 0 0 0 Gi2/45 1 0 0 0 Gi2/46 0 0 0 0 Gi2/47 1 0 0 0 Gi2/48 0 0 0 0 Po1 0 0 0 0 ------------------ show interfaces status ------------------ Port Name Status Vlan Duplex Speed Type Te1/1 inactive 1 full 10G No X2 Te1/2 inactive 1 full 10G No X2 Gi1/3 Uplink.X97 connected trunk full 1000 1000BaseSX Gi1/4 Uplink.X97 connected trunk full 1000 1000BaseSX Gi1/5 notconnect 1 full 1000 No Gbic Gi1/6 notconnect 1 full 1000 No Gbic Gi2/1 DV3.U1.V1.2.E13 notconnect 1 auto auto 10/100/1000-TX Gi2/2 V1.2.B03 connected 1 a-full a-100 10/100/1000-TX Gi2/3 V1.2.B06 connected 11 a-full a-100 10/100/1000-TX Gi2/4 V1.2.B01 connected 1 a-full a-100 10/100/1000-TX Gi2/5 V1.2.B19 connected 11 a-full a-1000 10/100/1000-TX Gi2/6 V1.2.B16 connected 126 a-full a-100 10/100/1000-TX Gi2/7 V1.2.B15 connected 214 a-full a-1000 10/100/1000-TX Gi2/8 Video-BF3.X98 notconnect 1 auto auto 10/100/1000-TX Gi2/9 V1.2.E08 notconnect 1 auto auto 10/100/1000-TX Gi2/10 DV.3.U1.V1.2.B05 connected 214 a-full a-1000 10/100/1000-TX Gi2/11 V1.2.B04 connected 1 a-full a-100 10/100/1000-TX Gi2/12 VG-5086.X99 connected 1 a-full a-100 10/100/1000-TX Gi2/13 Video-BF3.X98 notconnect 1 auto auto 10/100/1000-TX Gi2/14 V1.2.B13 connected 214 a-full a-100 10/100/1000-TX Gi2/15 DV.3.U1.V1.2.F05 connected 2923 a-full a-1000 10/100/1000-TX Gi2/16 V1.2.E05 connected 1 a-full a-1000 10/100/1000-TX Gi2/17 V1.2.C11 connected 11 a-full a-1000 10/100/1000-TX Gi2/18 V1.2.A06 connected 1 a-full a-100 10/100/1000-TX Gi2/19 V1.2.A17 connected 1 a-full a-100 10/100/1000-TX Gi2/20 V1.2.A08 notconnect 1 auto auto 10/100/1000-TX Gi2/21 V1.2.A19 notconnect 126 auto auto 10/100/1000-TX Gi2/22 V1.2.A18 connected 1 a-full a-100 10/100/1000-TX Gi2/23 V1.2.A20 notconnect 1 auto auto 10/100/1000-TX Gi2/24 U1.V1.2.B12 connected 1 a-full a-100 10/100/1000-TX Gi2/25 V1.2.B11 connected 214 a-full a-1000 10/100/1000-TX Gi2/26 V1.2.A05 notconnect 1 auto auto 10/100/1000-TX Gi2/27 Video-BF3.X98 connected 2907 a-full a-100 10/100/1000-TX Gi2/28 DV.3.U1.V1.2.B09 connected 214 a-full a-100 10/100/1000-TX Gi2/29 V1.2.C08 connected 11 a-full a-100 10/100/1000-TX Gi2/30 Video-BF3.X98 connected 2907 a-full a-100 10/100/1000-TX Gi2/31 Video-BF3.X98 connected 2907 a-full a-100 10/100/1000-TX Gi2/32 Video-BF3.X98 connected 2907 a-full a-100 10/100/1000-TX Gi2/33 Video-BF3.X98 notconnect 2907 auto auto 10/100/1000-TX Gi2/34 Video-BF3.X98 connected 2907 a-full a-100 10/100/1000-TX Gi2/35 Video-BF3.X98 connected 2907 a-full a-100 10/100/1000-TX Gi2/36 Video-BF3.X98 connected 2907 a-full a-100 10/100/1000-TX Gi2/37 DV.3.U1.V1.2.F01 connected 2923 a-full a-1000 10/100/1000-TX Gi2/38 Video.BF3 connected 2907 a-full a-100 10/100/1000-TX Gi2/39 DV.3.U1.V1.2.F02 connected 2923 a-full a-1000 10/100/1000-TX Gi2/40 DV.3.U1.V1.2.F03 connected 2923 a-full a-1000 10/100/1000-TX Gi2/41 V1.2.C17 notconnect 11 auto auto 10/100/1000-TX Gi2/42 DV.3.U1.V1.2.F04 connected 2923 a-full a-1000 10/100/1000-TX Gi2/43 V1.2.B20 connected 11 a-full a-100 10/100/1000-TX Gi2/44 DV3.U1.V1.2.B02 connected 11 a-full a-100 10/100/1000-TX Gi2/45 Zutritt.X98 connected 2906 a-half a-10 10/100/1000-TX Gi2/46 Zutritt.X98 connected 2906 a-half a-10 10/100/1000-TX Gi2/47 Zutritt.X98 connected 2906 a-half a-10 10/100/1000-TX Gi2/48 Video-BF3.X98 notconnect 2906 auto auto 10/100/1000-TX Po1 connected trunk a-full a-1000 ------------------ show interfaces trunk ------------------ Port Mode Encapsulation Status Native vlan Po1 on 802.1q trunking 1 Port Vlans allowed on trunk Po1 1-4094 Port Vlans allowed and active in management domain Po1 1,11,126,214,1311,1941,2901,2906-2908,2923 Port Vlans in spanning tree forwarding state and not pruned Po1 1,11,126,214,1311,1941,2901,2906-2908,2923 ------------------ show logging ------------------ Syslog logging: enabled (0 messages dropped, 217 messages rate-limited, 0 flushes, 0 overruns, xml disabled, filtering disabled) No Active Message Discriminator. No Inactive Message Discriminator. Console logging: level debugging, 299 messages logged, xml disabled, filtering disabled Monitor logging: level debugging, 0 messages logged, xml disabled, filtering disabled Buffer logging: level debugging, 515 messages logged, xml disabled, filtering disabled Exception Logging: size (8192 bytes) Count and timestamp logging messages: disabled Persistent logging: disabled No active filter modules. Trap logging: level debugging, 519 message lines logged Logging to 20.199.30.37 (udp port 514, audit disabled, link up), 519 message lines logged, 0 message lines rate-limited, 0 message lines dropped-by-MD, xml disabled, sequence number disabled filtering disabled Logging to 72.22.66.1 (udp port 514, audit disabled, link up), 519 message lines logged, 0 message lines rate-limited, 0 message lines dropped-by-MD, xml disabled, sequence number disabled filtering disabled Logging to 72.25.16.25 (udp port 514, audit disabled, link up), 519 message lines logged, 0 message lines rate-limited, 0 message lines dropped-by-MD, xml disabled, sequence number disabled filtering disabled Logging Source-Interface: VRF Name: Log Buffer (24000 bytes): 2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 19:46:26.626: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 19:46:26.626: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 19:46:26.626: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 19:46:26.626: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 19:46:26.626: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 19:46:26.626: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 19:46:26.626: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 19:46:26.626: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 19:46:26.626: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 19:46:26.626: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 19:46:26.626: %AUTHMGR-5-START: Starting 'mab' for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 19:46:26.626: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 19:46:26.626: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 19:46:26.626: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 19:46:26.626: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 19:46:26.626: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 19:46:26.626: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 19:46:26.626: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 19:46:26.626: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 19:46:26.626: %AUTHMGR-5-START: Starting 'mab' for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 19:46:26.626: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 19:46:26.626: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 19:46:26.626: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 19:46:26.634: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 19:46:26.634: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 19:46:26.634: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 19:46:26.634: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 19:46:26.634: %MAB-5-SUCCESS: Authentication successful for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 19:46:26.634: %MAB-5-SUCCESS: Authentication successful for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 19:46:26.634: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 19:46:26.634: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 19:46:26.634: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 19:46:26.634: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 19:46:26.634: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 19:46:26.634: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 19:46:26.634: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 19:46:26.634: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 19:46:26.634: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 19:46:26.634: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 19:46:27.650: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 19:46:27.650: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 19:46:27.650: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 19:46:27.650: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 19:46:27.650: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 19:46:27.650: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 19:46:27.650: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 19:46:27.650: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 19:46:27.650: %DOT1X-5-FAIL: Authentication failed for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 19:46:27.650: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 19:46:27.650: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 19:46:27.650: %AUTHMGR-5-START: Starting 'mab' for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 19:46:27.654: %MAB-5-SUCCESS: Authentication successful for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 19:46:27.654: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 19:46:28.674: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 20:05:55.089: %DOT1X-5-SUCCESS: Authentication successful for client (f8b1.56a6.ecec) on Interface Gi2/7 AuditSessionID 0AC7290F000000380014209A Mar 28 20:05:55.093: %AUTHMGR-7-RESULT: Authentication result 'success' from 'dot1x' for client (f8b1.56a6.ecec) on Interface Gi2/7 AuditSessionID 0AC7290F000000380014209A Mar 28 20:05:55.129: %DOT1X-5-SUCCESS: Authentication successful for client (f8b1.56c2.d461) on Interface Gi2/10 AuditSessionID 0AC7290F000000370014207A Mar 28 20:05:55.129: %AUTHMGR-7-RESULT: Authentication result 'success' from 'dot1x' for client (f8b1.56c2.d461) on Interface Gi2/10 AuditSessionID 0AC7290F000000370014207A Mar 28 20:05:56.089: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (f8b1.56a6.ecec) on Interface Gi2/7 AuditSessionID 0AC7290F000000380014209A Mar 28 20:05:56.089: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (f8b1.56c2.d461) on Interface Gi2/10 AuditSessionID 0AC7290F000000370014207A Mar 28 20:05:56.125: %DOT1X-5-SUCCESS: Authentication successful for client (f8b1.56a8.f44f) on Interface Gi2/25 AuditSessionID 0AC7290F00000039001420BA Mar 28 20:05:56.125: %AUTHMGR-7-RESULT: Authentication result 'success' from 'dot1x' for client (f8b1.56a8.f44f) on Interface Gi2/25 AuditSessionID 0AC7290F00000039001420BA Mar 28 20:05:57.113: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (f8b1.56a8.f44f) on Interface Gi2/25 AuditSessionID 0AC7290F00000039001420BA Mar 28 20:06:14.566: %DOT1X-5-SUCCESS: Authentication successful for client (f8b1.56b5.ff01) on Interface Gi2/14 AuditSessionID 0AC7290F0000003A00146C32 Mar 28 20:06:14.566: %AUTHMGR-7-RESULT: Authentication result 'success' from 'dot1x' for client (f8b1.56b5.ff01) on Interface Gi2/14 AuditSessionID 0AC7290F0000003A00146C32 Mar 28 20:06:15.546: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (f8b1.56b5.ff01) on Interface Gi2/14 AuditSessionID 0AC7290F0000003A00146C32 Mar 28 20:46:00.645: %DOT1X-5-SUCCESS: Authentication successful for client (f8b1.56ba.733e) on Interface Gi2/28 AuditSessionID 0AC7290F0000002700016756 Mar 28 20:46:00.645: %AUTHMGR-7-RESULT: Authentication result 'success' from 'dot1x' for client (f8b1.56ba.733e) on Interface Gi2/28 AuditSessionID 0AC7290F0000002700016756 Mar 28 20:46:01.625: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (f8b1.56ba.733e) on Interface Gi2/28 AuditSessionID 0AC7290F0000002700016756 Mar 28 20:46:28.258: %AUTHMGR-5-START: Starting 'dot1x' for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 20:46:28.258: %AUTHMGR-5-START: Starting 'dot1x' for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 20:46:28.258: %AUTHMGR-5-START: Starting 'dot1x' for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 20:46:28.258: %AUTHMGR-5-START: Starting 'dot1x' for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 20:46:28.258: %AUTHMGR-5-START: Starting 'dot1x' for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 20:46:28.258: %AUTHMGR-5-START: Starting 'dot1x' for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 20:46:28.258: %AUTHMGR-5-START: Starting 'dot1x' for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 20:46:28.258: %AUTHMGR-5-START: Starting 'dot1x' for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 20:46:29.282: %AUTHMGR-5-START: Starting 'dot1x' for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 20:46:37.474: %DOT1X-5-FAIL: Authentication failed for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 20:46:37.474: %DOT1X-5-FAIL: Authentication failed for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 20:46:37.474: %DOT1X-5-FAIL: Authentication failed for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 20:46:37.474: %DOT1X-5-FAIL: Authentication failed for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 20:46:37.474: %DOT1X-5-FAIL: Authentication failed for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 20:46:37.474: %DOT1X-5-FAIL: Authentication failed for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 20:46:37.474: %DOT1X-5-FAIL: Authentication failed for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 20:46:37.474: %DOT1X-5-FAIL: Authentication failed for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 20:46:37.474: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 20:46:37.474: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 20:46:37.474: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 20:46:37.474: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 20:46:37.474: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 20:46:37.474: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 20:46:37.474: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 20:46:37.474: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 20:46:37.474: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 20:46:37.474: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 20:46:37.474: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 20:46:37.474: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 20:46:37.474: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 20:46:37.474: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 20:46:37.474: %AUTHMGR-5-START: Starting 'mab' for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 20:46:37.474: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 20:46:37.474: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 20:46:37.474: %AUTHMGR-5-START: Starting 'mab' for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 20:46:37.474: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 20:46:37.474: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 20:46:37.474: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 20:46:37.474: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 20:46:37.474: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 20:46:37.474: %AUTHMGR-5-START: Starting 'mab' for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 20:46:37.490: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 20:46:37.490: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 20:46:37.490: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 20:46:37.490: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 20:46:37.490: %MAB-5-SUCCESS: Authentication successful for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 20:46:37.490: %MAB-5-SUCCESS: Authentication successful for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 20:46:37.490: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 20:46:37.490: %MAB-5-SUCCESS: Authentication successful for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 20:46:37.490: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 20:46:37.490: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 20:46:37.490: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 20:46:37.490: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 20:46:37.490: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 20:46:37.494: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 20:46:37.494: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 20:46:37.494: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 20:46:38.498: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.496a) on Interface Gi2/4 AuditSessionID 0AC7290F00000009000134B1 Mar 28 20:46:38.498: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.42ef) on Interface Gi2/18 AuditSessionID 0AC7290F0000000E000134C5 Mar 28 20:46:38.498: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.ba06) on Interface Gi2/28 AuditSessionID 0AC7290F000000310001B03E Mar 28 20:46:38.498: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.463a) on Interface Gi2/2 AuditSessionID 0AC7290F00000008000134B1 Mar 28 20:46:38.498: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (6416.8d51.a4a7) on Interface Gi2/22 AuditSessionID 0AC7290F00000001000130E1 Mar 28 20:46:38.502: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (6416.8d50.2027) on Interface Gi2/16 AuditSessionID 0AC7290F00000021000140B2 Mar 28 20:46:38.502: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.411f) on Interface Gi2/11 AuditSessionID 0AC7290F0000000B000134B1 Mar 28 20:46:38.502: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (0026.99ee.224a) on Interface Gi2/14 AuditSessionID 0AC7290F000000320001B072 Mar 28 20:46:38.502: %DOT1X-5-FAIL: Authentication failed for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 20:46:38.502: %AUTHMGR-7-RESULT: Authentication result 'no-response' from 'dot1x' for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 20:46:38.502: %AUTHMGR-7-FAILOVER: Failing over from 'dot1x' for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 20:46:38.502: %AUTHMGR-5-START: Starting 'mab' for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 20:46:38.506: %MAB-5-SUCCESS: Authentication successful for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 20:46:38.506: %AUTHMGR-7-RESULT: Authentication result 'success' from 'mab' for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 20:46:39.522: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (6416.8d51.a4b1) on Interface Gi2/19 AuditSessionID 0AC7290F00000000000130DD Mar 28 21:05:56.765: %DOT1X-5-SUCCESS: Authentication successful for client (f8b1.56c2.d461) on Interface Gi2/10 AuditSessionID 0AC7290F000000370014207A Mar 28 21:05:56.765: %DOT1X-5-SUCCESS: Authentication successful for client (f8b1.56a6.ecec) on Interface Gi2/7 AuditSessionID 0AC7290F000000380014209A Mar 28 21:05:56.765: %AUTHMGR-7-RESULT: Authentication result 'success' from 'dot1x' for client (f8b1.56c2.d461) on Interface Gi2/10 AuditSessionID 0AC7290F000000370014207A Mar 28 21:05:56.765: %AUTHMGR-7-RESULT: Authentication result 'success' from 'dot1x' for client (f8b1.56a6.ecec) on Interface Gi2/7 AuditSessionID 0AC7290F000000380014209A Mar 28 21:05:57.749: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (f8b1.56c2.d461) on Interface Gi2/10 AuditSessionID 0AC7290F000000370014207A Mar 28 21:05:57.749: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (f8b1.56a6.ecec) on Interface Gi2/7 AuditSessionID 0AC7290F000000380014209A Mar 28 21:05:57.785: %DOT1X-5-SUCCESS: Authentication successful for client (f8b1.56a8.f44f) on Interface Gi2/25 AuditSessionID 0AC7290F00000039001420BA Mar 28 21:05:57.785: %AUTHMGR-7-RESULT: Authentication result 'success' from 'dot1x' for client (f8b1.56a8.f44f) on Interface Gi2/25 AuditSessionID 0AC7290F00000039001420BA Mar 28 21:05:58.773: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (f8b1.56a8.f44f) on Interface Gi2/25 AuditSessionID 0AC7290F00000039001420BA Mar 28 21:06:16.233: %DOT1X-5-SUCCESS: Authentication successful for client (f8b1.56b5.ff01) on Interface Gi2/14 AuditSessionID 0AC7290F0000003A00146C32 Mar 28 21:06:16.233: %AUTHMGR-7-RESULT: Authentication result 'success' from 'dot1x' for client (f8b1.56b5.ff01) on Interface Gi2/14 AuditSessionID 0AC7290F0000003A00146C32 Mar 28 21:06:17.205: %AUTHMGR-5-SUCCESS: Authorization succeeded for client (f8b1.56b5.ff01) on Interface Gi2/14 AuditSessionID 0AC7290F0000003A00146C32 ------------------ show lldp neighbors detail ------------------ % LLDP is not enabled ------------------ show module ------------------ Chassis Type : WS-C4503-E Power consumed by backplane : 0 Watts Mod Ports Card Type Model Serial No. ---+-----+--------------------------------------+------------------+----------- 1 6 Sup 6L-E 10GE (X2), 1000BaseX (SFP) WS-X45-SUP6L-E JAE14020672 2 48 10/100/1000BaseT Premium POE E Series WS-X4648-RJ45V+E JAE14020HCM M MAC addresses Hw Fw Sw Status --+--------------------------------+---+------------+----------------+--------- 1 8843.e179.7b40 to 8843.e179.7b45 1.0 12.2(44r)SG5 15.1(2)SG5 Ok 2 8843.e146.0370 to 8843.e146.039f 2.0 Ok ------------------ show mac-address-table count ------------------ ------------------ show platform chassis ------------------ GalK5SupervisorVp redundantModeReviewState_ 0 Spurious linecard interrupts : total=0, consecutive=0, max consecutive=0 Not handled linecard interrupts: total=0, consecutive=0, max consecutive=0 GalK5JawaMan revisionReg: 0xF980 interruptMaskReg: 0xC100 interruptReg: 0x00 GalDagobahMan Platform Reset Cause = 0x0 Jawa Backplane Mux Reg : 0x00000000, Stale : No Ipp-side PreEmphasis : 0, Stub-side PreEmphasis : 0 LocalJawaVsiMan VsiRegBlockMan RunMode(4) OpRunMode(4) ReviewState(Complete) Vsi errors: total=0, last=Null Vsi failures: consecutive=0, max consecutive=0 Vsi successes: consecutive=13, max consecutive=13 Read Cache Registers... RegAddress RegName Supported? Periodic? Dirty? Value 0xF0000100 StandbyPowerReg Yes No No 0x00000000 0xF0000200 SoftResetReg Yes No No 0x000000DD 0xF0000300 VsiResetReg Yes No No 0x00000000 0xF0000400 SystemIdReg Yes No No 0x0012000F 0xF0000500 BackplaneMuxReg Yes No No 0x00000000 0xF0000600 X2_2MuxReg Yes No No 0x00000000 0xF0000700 HammMuxReg Yes No No 0x00000000 0xF0000800 LinkControlReg Yes No No 0x00000000 0xF0000B00 GunganOneGigLoopbackReg Yes No No 0x00000000 0xF0000A00 GunganUplinkMuxConfigReg Yes No No 0x00000000 Write Cache Registers... RegAddress RegName Supported? Periodic? Dirty? Value 0xF0000000 PowerCycleReg Yes No No 0x00000000 0xF0000100 StandbyPowerReg Yes No No 0x00000000 0xF0000200 SoftResetReg Yes No No 0x000000DD 0xF0000300 VsiResetReg Yes No No 0x00000000 0xF0000500 BackplaneMuxReg Yes No No 0x00000000 0xF0000600 X2_2MuxReg Yes No No 0x00000000 0xF0000700 HammMuxReg Yes No No 0x00000000 0xF0000800 LinkControlReg Yes No No 0x00000000 0xF0000B00 GunganOneGigLoopbackReg Yes No No 0x00000000 0xF0000A00 GunganUplinkMuxConfigReg Yes No No 0x00000000 GalChassisVp slot 0: state=8,1,11 ElbCardType 389 GalModuleType 3 GalK5ModuleVpSlot : type( GalModuleVpTypeGlm ) GalK5DriverMan(0): runMode( 4 ) state( GalK5DriverManStateReady ) prevState( GalK5DriverManStateSuccess ) gldMinor( 27 ) Retries( 0 ) SR-Register( 0x00000000 ) gldMajorVersion( 0x00 ) cardRevision( 0x00 ) SR-RegisterValid( true ) driverType( Native ) SpiRomSize( 33554432 ) GalGlmLinecardVp(0:N) ElbCardType 389, GalModuleType 3, Config RunModeOperating, Op RunModeOperating, uplinkMode SupervisorUplinkK2Like, redundantMode SupervisorLocalNonRedundant System StatValue count 1081 Interrupts handled=0 Spurious linecard interrupts: total=0, consecutive=0, max consecutive=0 GalK5SupervisorVp redundantModeReviewState_ 0 Spurious linecard interrupts : total=0, consecutive=0, max consecutive=0 Not handled linecard interrupts: total=0, consecutive=0, max consecutive=0 GalK5JawaMan revisionReg: 0xF980 interruptMaskReg: 0xC100 interruptReg: 0x00 GalDagobahMan Platform Reset Cause = 0x0 Jawa Backplane Mux Reg : 0x00000000, Stale : Yes Ipp-side PreEmphasis : 0, Stub-side PreEmphasis : 0 LocalJawaVsiMan VsiRegBlockMan RunMode(4) OpRunMode(4) ReviewState(SendRequest) Vsi errors: total=0, last=Null Vsi failures: consecutive=0, max consecutive=0 Vsi successes: consecutive=13, max consecutive=13 Read Cache Registers... RegAddress RegName Supported? Periodic? Dirty? Value 0xF0000100 StandbyPowerReg Yes No Yes 0x00000000 0xF0000200 SoftResetReg Yes No Yes 0x000000DD 0xF0000300 VsiResetReg Yes No Yes 0x00000000 0xF0000400 SystemIdReg Yes No Yes 0x0012000F 0xF0000500 BackplaneMuxReg Yes No Yes 0x00000000 0xF0000600 X2_2MuxReg Yes No Yes 0x00000000 0xF0000700 HammMuxReg Yes No Yes 0x00000000 0xF0000800 LinkControlReg Yes No Yes 0x00000000 0xF0000B00 GunganOneGigLoopbackReg Yes No Yes 0x00000000 0xF0000A00 GunganUplinkMuxConfigReg Yes No Yes 0x00000000 Write Cache Registers... RegAddress RegName Supported? Periodic? Dirty? Value 0xF0000000 PowerCycleReg Yes No No 0x00000000 0xF0000100 StandbyPowerReg Yes No No 0x00000000 0xF0000200 SoftResetReg Yes No No 0x000000DD 0xF0000300 VsiResetReg Yes No No 0x00000000 0xF0000500 BackplaneMuxReg Yes No No 0x00000000 0xF0000600 X2_2MuxReg Yes No No 0x00000000 0xF0000700 HammMuxReg Yes No No 0x00000000 0xF0000800 LinkControlReg Yes No No 0x00000000 0xF0000B00 GunganOneGigLoopbackReg Yes No No 0x00000000 0xF0000A00 GunganUplinkMuxConfigReg Yes No No 0x00000000 GalGlmPortGroupMans - Count : 2 GalGlmPortGroupMan(0:N-0), RunModeOperating ModeChanged : falseModeChangeInProgress : false NumOldActiveBackplanePortGroups : 1, NumOldActiveStubPortGroups : 0, NumOldActiveGlmPorts : 1, modeChangeReviewState_: 4 GalGlmPortGroupMan(0:N-1), RunModeOperating ModeChanged : falseModeChangeInProgress : false NumOldActiveBackplanePortGroups : 1, NumOldActiveStubPortGroups : 0, NumOldActiveGlmPorts : 1, modeChangeReviewState_: 4 EpmPortGroup : EpmPortGroup(0:N): NumPorts( 6 ) RunMode RunModeOperating OpRunMode RunModeOperating EpmPortGroup(0:N) stats c last 5 seconds last minute last hour Events per second 0 2 0 Percent cpu utilization 0.00 0.00 0.00 Total : 33670 Total milliseconds spent handling: 993 EpmPortGroup(0:N) on dema last 5 seconds last minute last hour Events per second 0 0 0 Total : 11 EpmPluggableGroup(0:N) managing 6 pluggables, RunModeOperating received changed notifies=6, rxLoss notifies=2 ReviewRunTimeMax=6000, ReviewRunTimeExpected=1000 ReviewPeriod=60000000 MaxSubReviews=5 DOM interval=30000000 Review pluggables last 5 seconds last minute last hour Events per second 0 0 0 Percent cpu utilization 0.00 0.00 0.00 Total : 325 Total milliseconds spent handling: 7 Te1/1 RunModeFrozen State=EmptyHole Changed=True Te1/2 RunModeFrozen State=EmptyHole Changed=True Gi1/3 RunModeOperating State=Monitoring Changed=False Gi1/4 RunModeOperating State=Monitoring Changed=False Gi1/5 RunModeOperating State=EmptyHole Changed=False Gi1/6 RunModeOperating State=EmptyHole Changed=False GalGlmLinecardMan(0:N/0) : ElbCardType 389 Config RunModeOperating, Op RunModeOperating, Status Ok GalGlmStandbyPowerLinecardMan(0:N/0) Config RunModeOperating, Op RunModeOperating, opRunModeState Complete bridgeFpgaSeuCorrectableCount: 0 GlmBridgeMan(0) runMode RunModeOperating OpRunMode RunModeOperating Vsi errors: total=0, last=Null Vsi failures: consecutive=0, max consecutive=0 Vsi successes: consecutive=3382, max consecutive=3382 GlmBridgeMan review last 5 seconds last minute last hour Events per second 0 0 0 Percent cpu utilization 0.00 0.00 0.00 Total : 3375 Total milliseconds spent handling: 40 Read Cache Registers... RegAddress RegName Supported? Periodic? Dirty? Value 0x00000000 RevisionReg Yes No No 0x09000000 0x00000004 FpgaTypeReg Yes No No 0x00000000 0x00000008 SilentRollReg Yes No No 0x00000000 0x0000010C OtherResetReg Yes No No 0x00000000 0x00000300 PortLedRefreshRateReg Yes No No 0x00000000 0x00000304 PortLedGroup1Reg Yes No No 0x000000A0 0x00000800 WatchdogReg Yes Yes No 0x00000000 0x00000900 InterruptSourceReg Yes No No 0x00000000 0x00000D00 InterruptMaskReg Yes No No 0x00000000 Write Cache Registers... RegAddress RegName Supported? Periodic? Dirty? Value 0x0000010C OtherResetReg Yes No No 0x00000001 0x00000300 PortLedRefreshRateReg Yes No No 0x00000000 0x00000304 PortLedGroup1Reg Yes No No 0x000000A0 0x00000800 WatchdogReg Yes No No 0x00000000 0x00000D00 InterruptMaskReg Yes No No 0x00010008 Temperature monitors: Monitor 0 okay 0:05 25C air inlet 0:06 38C air outlet PluggableLinecard(0:N), Config RunModeOperating, Op RunModeOperating X2Controller(0) managing 2 x2s, speaking Mdio1MHz RunMode=RunModeOperating, opRunMode=RunModeOperating changes detected=0, changes notified=2, interrupts received=0 handlingInterrupt(False), read cache initialized(True), stale(False), dirty(False) X2 Config Reg Status Reg 0 0x00000060 0x00000070 1 0x00000060 0x00000070 ResetReg=0x00000000 ChangedMaskReg=0xFFFFFFFF lasiMaskReg=0x00000003 Vsi errors: total=10, last=No acknowledge from mdio target Vsi failures: consecutive=0, max consecutive=1 Vsi successes: consecutive=573, max consecutive=573 X2 Controller review last 5 seconds last minute last hour Events per second 0 0 0 Percent cpu utilization 0.00 0.00 0.00 Total : 1136 Total milliseconds spent handling: 15 PluggablePhysicalGroup(0/0) PhysicalMan(Te1/1) in StateHammMonitoring in RunModeOperating Number of X2 mdio read failures with TwinGig configuration: 0 HammMan(Te1/1) in StateWaitForNextReview in RunModeOperating, speaking S2w100KHzSingleMaster changes detected=2, rxLoss detected=2, interrupts received=0, handlingInterrupt=False StatusReg=0x00 NextStatusReg=0x00 ConfigReg=0x00 Vsi errors: total=0, last=Null Vsi failures: consecutive=0, max consecutive=0 Vsi successes: consecutive=1706, max consecutive=1706 PluggablePhysicalGroup(0/1) PhysicalMan(Te1/2) in StateHammMonitoring in RunModeOperating Number of X2 mdio read failures with TwinGig configuration: 0 HammMan(Te1/2) in StateWaitForNextReview in RunModeOperating, speaking S2w100KHzSingleMaster changes detected=0, rxLoss detected=0, interrupts received=0, handlingInterrupt=False StatusReg=0xFF NextStatusReg=0xFF ConfigReg=0x44 Vsi errors: total=0, last=Null Vsi failures: consecutive=0, max consecutive=0 Vsi successes: consecutive=1694, max consecutive=1694 slot 1: state=8,1,11 ElbCardType 378 GalModuleType 1 GalK5ModuleVpSlot : type( GalModuleVpTypeGlm ) GalK5DriverMan(1): runMode( 4 ) state( GalK5DriverManStateReady ) prevState( GalK5DriverManStateSuccess ) gldMinor( 27 ) Retries( 0 ) SR-Register( 0x00000000 ) gldMajorVersion( 0x00 ) cardRevision( 0x00 ) SR-RegisterValid( true ) driverType( Native ) SpiRomSize( 33554432 ) GalGlmLinecardVp(1:N) ElbCardType 378, GalModuleType 1, Config RunModeOperating, Op RunModeOperating, uplinkMode LinecardNoUplinkMode, redundantMode LinecardNonRedundant System StatValue count 1081 Interrupts handled=43 Spurious linecard interrupts: total=0, consecutive=0, max consecutive=0 EpmPortGroup : EpmPortGroup(1:N): NumPorts( 48 ) RunMode RunModeOperating OpRunMode RunModeOperating EpmPortGroup(1:N) stats c last 5 seconds last minute last hour Events per second 0 2 0 Percent cpu utilization 0.05 0.04 0.04 Total : 33690 Total milliseconds spent handling: 7834 EpmPortGroup(1:N) on dema last 5 seconds last minute last hour Events per second 0 0 0 Percent cpu utilization 0.00 0.00 0.00 Total : 7828 Total milliseconds spent handling: 206 GalGlmLinecardMan(1:N/0) : ElbCardType 378 Config RunModeOperating, Op RunModeOperating, Status Ok GalGlmLoopbackDiagMan(2) GalGlmStubDiagMan(2/1) UUUU GalGlmStubDiagMan(2/2) UUUU GalGlmStubDiagMan(2/3) UUUU GalGlmStubDiagMan(2/4) UUUU GalGlmStandbyPowerLinecardMan(1:N/0) Config RunModeOperating, Op RunModeOperating, opRunModeState Complete bridgeFpgaSeuCorrectableCount: 0 GlmBridgeMan(1) runMode RunModeOperating OpRunMode RunModeOperating Vsi errors: total=0, last=Null Vsi failures: consecutive=0, max consecutive=0 Vsi successes: consecutive=20262, max consecutive=20262 GlmBridgeMan review last 5 seconds last minute last hour Events per second 0 0 0 Percent cpu utilization 0.00 0.00 0.00 Total : 3417 Total milliseconds spent handling: 77 Read Cache Registers... RegAddress RegName Supported? Periodic? Dirty? Value 0x00000000 RevisionReg Yes No No 0x00000026 0x00000004 FpgaTypeReg Yes No No 0xFFFFFFFF 0x00000008 SilentRollReg Yes No No 0x00000000 0x00000100 XgstubResetReg Yes Yes No 0x00000000 0x00000104 XgstubPllResetReg Yes No No 0x00000000 0x00000108 EthPhyGroupResetReg Yes No No 0x00000000 0x0000010C OtherResetReg Yes No No 0x00000000 0x00000200 XgstubSwitchLinkSpeedSelectReg Yes No No 0x00000001 0x00000400 ResetCauseReg Yes Yes No 0x00000000 0x00000600 PrimaryPowerControlReg Yes No No 0x0000BEEF 0x00000700 StandbyVoltageMarginReg Yes No No 0x00000000 0x00000704 PrimaryVoltageMarginReg Yes No No 0x00000000 0x00000780 InlinePowerStatusLedReg Yes No No 0x00000002 0x00000800 WatchdogReg Yes Yes No 0x00000000 0x00000900 InterruptSourceReg Yes No No 0x00000002 0x00000904 XgstubInterruptSourceReg Yes No No 0x00000004 0x00000908 EthPhyGroupInterruptSourceReg Yes No No 0x00000000 0x0000090C AsicTemperatureMonitorInterruptSourceReg Yes No No 0x00000003 0x00000D00 InterruptMaskReg Yes No No 0x00010008 0x00000D04 XgstubInterruptMaskReg Yes No No 0xFFFFFFFF 0x00000D08 EthPhyGroupInterruptMaskReg Yes No No 0xFFFFFFFF 0x00000D0C AsicTemperatureMonitorInterruptMaskReg Yes No No 0x00000000 0x00001500 Backplane48VEnableReg Yes Yes No 0x00000001 0x00001600 Backplane48VControlResetReg Yes Yes No 0x00000000 0x00001608 BackplaneInputCurrentReg Yes Yes No 0x00000056 0x0000160C BackplaneInputCurrentFactorReg Yes Yes No 0x00000124 0x00001610 BackplaneInputVoltageReg Yes Yes No 0x00000231 0x00001614 BackplaneInputVoltageFactorReg Yes Yes No 0x00000373 Write Cache Registers... RegAddress RegName Supported? Periodic? Dirty? Value 0x00000100 XgstubResetReg Yes No No 0x00000000 0x00000104 XgstubPllResetReg Yes No No 0x00000000 0x00000108 EthPhyGroupResetReg Yes No No 0x00000000 0x0000010C OtherResetReg Yes No No 0x00000000 0x00000200 XgstubSwitchLinkSpeedSelectReg Yes No No 0x0000000F 0x00000400 ResetCauseReg Yes No No 0x00000000 0x00000600 PrimaryPowerControlReg Yes No No 0x0000BEEF 0x00000700 StandbyVoltageMarginReg Yes No No 0x00000000 0x00000704 PrimaryVoltageMarginReg Yes No No 0x00000000 0x00000780 InlinePowerStatusLedReg Yes No No 0x00000002 0x00000800 WatchdogReg Yes No No 0x00000000 0x00000D00 InterruptMaskReg Yes No No 0x00010008 0x00000D04 XgstubInterruptMaskReg Yes No No 0xFFFFFFFF 0x00000D08 EthPhyGroupInterruptMaskReg Yes No No 0xFFFFFFFF 0x00000D0C AsicTemperatureMonitorInterruptMaskReg Yes No No 0x00000000 0x00001500 Backplane48VEnableReg Yes No No 0x00000001 0x00001600 Backplane48VControlResetReg Yes No No 0x00000000 Temperature monitors: Monitor 0 okay 0:00 27C air inlet 0:06 31C air outlet EthPoeControllerMan(1:N), NumPoeGroups( 2 ), NumPoePorts ( 48 ), RunMode( RunModeOperating ), OpRunMode( RunModeOperating ) Status=Ok Stalled=no StallCounter=0 EthPoeControllerMan(1:N) last 5 seconds last minute last hour Events per second 0 0 0 Percent cpu utilization 0.00 0.00 0.00 Total : 1687 Total milliseconds spent handling: 26 EthPoeControllerMan(1:N) last 5 seconds last minute last hour Events per second 0 2 0 Percent cpu utilization 0.00 0.00 0.00 Total : 44026 Total milliseconds spent handling: 1596 EthPoeControllerMan(1:N) last 5 seconds last minute last hour Events per second 0 0 0 Percent cpu utilization 0.00 0.00 0.00 Total : 1125 Total milliseconds spent handling: 27 EthPoeControllerMan(1:N) GlobalRegMan( 0 ) Vsi errors: total=0, last=Null Vsi failures: consecutive=0, max consecutive=0 Vsi successes: consecutive=33717, max consecutive=33717 Read Cache Registers... RegAddress RegName Supported? Dirty? Value 0x00010000 InterruptReg Yes No 0x00180008 0x00010004 InterruptMaskReg Yes No 0x00000000 0x00010008 IdentificationReg Yes No 0x00000003 0x00010014 SupplyFaultEventReg Yes No 0x00000000 0x00010010 TimingAndMiscConfigReg Yes No 0x00000000 0x00010018 HighPowerIcutValueReg Yes No 0x00000003 0x00010024 WatchdogResetCountReg Yes No 0x00000000 0x00010028 HealthCheckCounterReg Yes No 0x24862956 0x00010030 SupplyFaultEventCorReg Yes No 0x00000000 Write Cache Registers... RegAddress RegName Supported? Dirty? Value 0x00010004 InterruptMaskReg Yes No 0x01F701F7 0x00010010 TimingAndMiscConfigReg Yes No 0x00000000 0x00010018 HighPowerIcutValueReg Yes No 0x00000003 EthPoeControllerMan(1:N) Group( 0 ), NumPoePortPairs ( 24 ), RunMode( 4 ), OpRunMode( 4 ) numHwRegInitializationRetries( 2 ) EthPoeControllerMan(1:N) Group( 0 ) PortGroupRegMan Vsi errors: total=0, last=Null Vsi failures: consecutive=0, max consecutive=0 Vsi successes: consecutive=62090, max consecutive=62090 Voltage Reg Read errors: total=0 Read Cache Registers... RegAddress RegName Supported? Dirty? Value 0x00010040 PowerGoodChangeReg Yes No 0x00000000 0x00010044 PowerEnableChangeReg Yes No 0x00000000 0x00010048 ClassDoneReg Yes No 0x00000000 0x0001004C DetectionDoneReg Yes No 0x00D91BD5 0x00010050 DisconnectFaultReg Yes No 0x00000000 0x00010054 IcutFaultReg Yes No 0x00000000 0x00010058 StartFaultReg Yes No 0x00000000 0x0001005C IrunFaultReg Yes No 0x00000000 0x00010070 ClassificationStatusReg0 Yes No 0x00202020 0x00010074 ClassificationStatusReg1 Yes No 0x33200200 0x00010078 ClassificationStatusReg2 Yes No 0x00200220 0x0001007C DetectionStatusReg0 Yes No 0x61414141 0x00010080 DetectionStatusReg1 Yes No 0x44461416 0x00010084 DetectionStatusReg2 Yes No 0x11466441 0x00010088 PowerGoodStatusReg Yes No 0x0026E42A 0x0001008C PowerEnableStatusReg Yes No 0x00000000 0x000100A0 OperatingModeReg0 Yes No 0x00AAAAAA 0x000100A4 OperatingModeReg1 Yes No 0x00AAAAAA 0x000100A8 ClassificationEnableReg Yes No 0x00FFFFFF 0x000100AC DetectionEnableReg Yes No 0x00FFFFFF 0x000100D0 ProgrammableIrunReg0 Yes No 0x22002200 0x000100D4 ProgrammableIrunReg1 Yes No 0x00002200 0x000100D8 ProgrammableIrunReg2 Yes No 0x00220000 0x000100DC ProgrammableIrunReg3 Yes No 0x42552200 0x000100E0 ProgrammableIrunReg4 Yes No 0x001B2200 0x000100E4 ProgrammableIrunReg5 Yes No 0x00001B00 0x000100E8 CurrentReg0 Yes No 0x12001200 0x000100EC CurrentReg1 Yes No 0x00001400 0x000100F0 CurrentReg2 Yes No 0x00120000 0x000100F4 CurrentReg3 Yes No 0x1C331400 0x000100F8 CurrentReg4 Yes No 0x000A1200 0x000100FC CurrentReg5 Yes No 0x00000A00 0x00010110 HighPowerEnableReg Yes No 0x00000000 0x00010114 IrunEnableReg Yes No 0x00000000 0x00010130 PowerGoodChangeCorReg Yes No 0x00000000 0x00010134 PowerEnableChangeCorReg Yes No 0x00000000 0x00010138 ClassDoneCorReg Yes No 0x00000000 0x0001013C DetectionDoneCorReg Yes No 0x00D91BD5 0x00010140 DisconnectFaultCorReg Yes No 0x00000000 0x00010144 IcutFaultCorReg Yes No 0x00000000 0x00010148 StartFaultCorReg Yes No 0x00000000 0x0001014C IrunFaultCorReg Yes No 0x00000000 Write Cache Registers... RegAddress RegName Supported? Dirty? Value 0x000100A0 OperatingModeReg0 Yes No 0x00AAAAAA 0x000100A4 OperatingModeReg1 Yes No 0x00AAAAAA 0x000100A8 ClassificationEnableReg Yes No 0x00FFFFFF 0x000100AC DetectionEnableReg Yes No 0x00FFFFFF 0x000100C0 PowerOffReg Yes No 0x00000000 0x000100C4 PowerOnReg Yes No 0x00000000 0x000100D0 ProgrammableIrunReg0 Yes No 0x22002200 0x000100D4 ProgrammableIrunReg1 Yes No 0x00002200 0x000100D8 ProgrammableIrunReg2 Yes No 0x00220000 0x000100DC ProgrammableIrunReg3 Yes No 0x42552200 0x000100E0 ProgrammableIrunReg4 Yes No 0x001B2200 0x000100E4 ProgrammableIrunReg5 Yes No 0x00001B00 0x00010110 HighPowerEnableReg Yes No 0x00000000 0x00010114 IrunEnableReg Yes No 0x00000000 EthPoeControllerMan(1:N) Group( 1 ), NumPoePortPairs ( 24 ), RunMode( 4 ), OpRunMode( 4 ) numHwRegInitializationRetries( 2 ) EthPoeControllerMan(1:N) Group( 1 ) PortGroupRegMan Vsi errors: total=0, last=Null Vsi failures: consecutive=0, max consecutive=0 Vsi successes: consecutive=56317, max consecutive=56317 Voltage Reg Read errors: total=0 Read Cache Registers... RegAddress RegName Supported? Dirty? Value 0x00010160 PowerGoodChangeReg Yes No 0x00000000 0x00010164 PowerEnableChangeReg Yes No 0x00000000 0x00010168 ClassDoneReg Yes No 0x00000000 0x0001016C DetectionDoneReg Yes No 0x00F90113 0x00010170 DisconnectFaultReg Yes No 0x00000000 0x00010174 IcutFaultReg Yes No 0x00000000 0x00010178 StartFaultReg Yes No 0x00000000 0x0001017C IrunFaultReg Yes No 0x00000000 0x00010190 ClassificationStatusReg0 Yes No 0x22202200 0x00010194 ClassificationStatusReg1 Yes No 0x33232220 0x00010198 ClassificationStatusReg2 Yes No 0x00000230 0x0001019C DetectionStatusReg0 Yes No 0x44414461 0x000101A0 DetectionStatusReg1 Yes No 0x44444446 0x000101A4 DetectionStatusReg2 Yes No 0x66661441 0x000101A8 PowerGoodStatusReg Yes No 0x0006FEEC 0x000101AC PowerEnableStatusReg Yes No 0x00000000 0x000101C0 OperatingModeReg0 Yes No 0x00AAAAAA 0x000101C4 OperatingModeReg1 Yes No 0x00AAAAAA 0x000101C8 ClassificationEnableReg Yes No 0x00FFFFFF 0x000101CC DetectionEnableReg Yes No 0x00FFFFFF 0x000101F0 ProgrammableIrunReg0 Yes No 0x22260000 0x000101F4 ProgrammableIrunReg1 Yes No 0x26262600 0x000101F8 ProgrammableIrunReg2 Yes No 0x26262600 0x000101FC ProgrammableIrunReg3 Yes No 0x55552655 0x00010200 ProgrammableIrunReg4 Yes No 0x00225500 0x00010204 ProgrammableIrunReg5 Yes No 0x00000000 0x00010208 CurrentReg0 Yes No 0x130D0000 0x0001020C CurrentReg1 Yes No 0x0C0D0D00 0x00010210 CurrentReg2 Yes No 0x120D0D00 0x00010214 CurrentReg3 Yes No 0x35331135 0x00010218 CurrentReg4 Yes No 0x00133400 0x0001021C CurrentReg5 Yes No 0x00000000 0x00010230 HighPowerEnableReg Yes No 0x00000000 0x00010234 IrunEnableReg Yes No 0x00000000 0x00010250 PowerGoodChangeCorReg Yes No 0x00000000 0x00010254 PowerEnableChangeCorReg Yes No 0x00000000 0x00010258 ClassDoneCorReg Yes No 0x00000000 0x0001025C DetectionDoneCorReg Yes No 0x00F90113 0x00010260 DisconnectFaultCorReg Yes No 0x00000000 0x00010264 IcutFaultCorReg Yes No 0x00000000 0x00010268 StartFaultCorReg Yes No 0x00000000 0x0001026C IrunFaultCorReg Yes No 0x00000000 Write Cache Registers... RegAddress RegName Supported? Dirty? Value 0x000101C0 OperatingModeReg0 Yes No 0x00AAAAAA 0x000101C4 OperatingModeReg1 Yes No 0x00AAAAAA 0x000101C8 ClassificationEnableReg Yes No 0x00FFFFFF 0x000101CC DetectionEnableReg Yes No 0x00FFFFFF 0x000101E0 PowerOffReg Yes No 0x00000000 0x000101E4 PowerOnReg Yes No 0x00000000 0x000101F0 ProgrammableIrunReg0 Yes No 0x22260000 0x000101F4 ProgrammableIrunReg1 Yes No 0x26262600 0x000101F8 ProgrammableIrunReg2 Yes No 0x26262600 0x000101FC ProgrammableIrunReg3 Yes No 0x55552655 0x00010200 ProgrammableIrunReg4 Yes No 0x00225500 0x00010204 ProgrammableIrunReg5 Yes No 0x00000000 0x00010230 HighPowerEnableReg Yes No 0x00000000 0x00010234 IrunEnableReg Yes No 0x00000000 Name RunMode OpRunMode PrevRevState CurrRevState Config OpConfig(Sw) OpConfig(Hw) OpPowerStatus Port( 0 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 1 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 2 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 3 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 4 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 5 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 6 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 7 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 8 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 9 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 10 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 11 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 12 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 13 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 14 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 15 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 16 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 17 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 18 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 19 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 20 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 21 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 22 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 23 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 24 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 25 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 26 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 27 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 28 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 29 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 30 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 31 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 32 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 33 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 34 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 35 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 36 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 37 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 38 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 39 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 40 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 41 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 42 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOn EthDtePowerOn EthDtePowerOff EthDtePowerOpStatusOn Port( 43 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 44 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 45 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 46 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Port( 47 ) SignalPairMan InlinePowerControllerMan 4 4 WaitHwUpdate Complete EthDtePowerOff EthDtePowerOff EthDtePowerOff EthDtePowerOpStatusOff Name RunMode OpRunMode PrevRevState CurrRevState ConfigParam OpParam Status Class Port( 0 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 1 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 2 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 3 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 4 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 5 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 6 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 7 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 8 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 9 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 10 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 11 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 12 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 13 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 14 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 15 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 16 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 17 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 18 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 19 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 20 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 21 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 22 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 23 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 24 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 25 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 26 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 27 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 28 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 29 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 30 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 31 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 32 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 33 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 34 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 35 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 36 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 37 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 38 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 39 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 40 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 41 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 42 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 43 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 44 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 45 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 46 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown Port( 47 ) SignalPairMan DpmControllerMan 4 4 WaitHwUpdate Complete On On Nothing Unknown slot 2: state=1,1,0 ElbCardType 65535 GalModuleType 0 GalK5ModuleVpSlot : type( GalModuleVpTypeNull ) ------------------ show platform cpu cache ------------------ L1 Instruction Cache: ENABLED L1 Data Cache: ENABLED L2 Cache: ENABLED Machine Check Interrupts: 0 L1 Instruction Cache Parity Errors: 0 L1 Instruction Cache Parity Errors (CPU30): 0 L1 Data Cache Parity Errors: 0 ------------------ show platform cpu packet statistics ------------------ RkGenericPacketMan: Packet allocation failures: 0 Packet Buffer(SW Common) allocation failures: 0 Packet Buffer(SW ESMP) allocation failures: 0 Packet Buffer(SW EOBC) allocation failures: 0 Packet Buffer(SW SupToSup) allocation failures: 0 Packets Dropped In Processing Overall Total 5 sec avg 1 min avg 5 min avg 1 hour avg -------------------- --------- --------- --------- ---------- 1824 0 0 0 0 Packets Dropped In Processing by CPU event Event Total 5 sec avg 1 min avg 5 min avg 1 hour avg ----------------- -------------------- --------- --------- --------- ---------- Sa Miss 1824 0 0 0 0 Packets Dropped In Processing by Priority Priority Total 5 sec avg 1 min avg 5 min avg 1 hour avg ----------------- -------------------- --------- --------- --------- ---------- Medium 1824 0 0 0 0 Packets Dropped In Processing by Reason Reason Total 5 sec avg 1 min avg 5 min avg 1 hour avg ------------------ -------------------- --------- --------- --------- ---------- STPDrop 1 0 0 0 0 Tx Mode Drop 1823 0 0 0 0 Total packet queues 64 Packets Received by Packet Queue Queue Total 5 sec avg 1 min avg 5 min avg 1 hour avg ---------------------- --------------- --------- --------- --------- ---------- Input ACL fwd(snooping) 1968 0 0 0 0 Host Learning 3134 0 0 0 0 L2 Control 111482 9 0 0 0 L2 router to CPU, 7 3 0 0 0 0 L3 Fwd, 7 8630 10 1 0 0 ------------------ show platform cpu packet driver ------------------ Forerunner Packet Engine 1.40 (0) Receive Queues: received packets summary Qu Capac Guara CurPo Unpro Accum Kept BperP Packets 2 2512 112 1968 0 0 0 64 1968 8 512 512 62 0 6 6 175 3134 9 2512 304 958 0 6 6 86 111482 60 512 112 3 0 3 3 64 3 62 1504 64 1141 0 3 5 109 8630 Receive Queues: dropped packets summary Qu Total Packets Drop No Cell Drop Overrun Drop Underrun Transmit Queues Qu PosAdd Pendng Packets Bytes 0 1803 5 11974 1764432 1 504 0 14840 5697516 Transmitted via RxProc: 1307 Link Stats Rx Ucast 9337, Mcast 112758, Bcast 3123 Tx Ucast 11296, Mcast 14873, Bcast 645 Rx Jumbo Packets 0, No Jumbo Buffer 0 Interrupt 150673, Rx review 124634, Driver review 26146 Rx Buffers taken 125252, returned 125250 Run Mode 4, reset count 0, lost Tx packets 0 Free count 11828, guaranteed 4364, ptr 10B8E300, base 10B88A00 Rx overruns 0, Rx underruns 0 Freelist errors 0 Packets not processed in interrupt context 26039 SEU: 0x00000001 0xB0000000 ------------------ show platform hardware interface all ------------------ Global Hardware Superport State ------------------------------ IPP Revision : IPP1 Rev1.3 VFE Revision : VFE1 Rev1.2 Ic/Oc Tcam Revision : NL TCAM4 Rev(0) Size(10M) PS( IC:1 OC:1 FL:1 ) Fl Tcam Revision : NL TCAM4 Rev(0) Size(10M) PS( IC:1 OC:1 FL:1 ) FreeListCount : 64500 GlobalTxQueueShapingEnabled : Disabled GlobalTxQueueSharingEnabled : Disabled Global Hardware Statistics -------------------------- Pld shutdown count : 0 Drop protected Pld drops : 0 Normal Pld drops : 0 Bad packet Pld drops : 0 Parity error packet Pld drops : 0 Ipp regular Plds sent : 31611146 Ipp extended Plds sent : 30308 Vfe regular Plds received : 31611146 Vfe extended Plds received : 30308 Vfe regular Pld drops : 0 Vfe extended Pld drops : 0 Regular Pld lookup count : 31611146 Extended Pld lookup count : 30308 Regular Replicalookup count : 876887 Extended Replica lookup count : 25462 Vfe Ptds sent : 32346453 Ipp Ptds received : 32346453 Hardware Phyport State for all interfaces ----------------------------------------- Hardware Phyport Tx-Queue State for Cpu Queues ---------------------------------------------- Phyport TxQ High Shape Shape-Burst Shape Share Share Priority Mant. Exp. Mant. Exp. NextTime Mant. Exp NextBytes -------------------------------------------------------------------------------- 4 0 Disabled 0 0 14 14 0x18E 63 19 0x64831C0C9 4 1 - 0 0 14 14 0x16666E8A5 63 19 0x66576C000 4 2 - 0 0 14 14 0x18E 63 19 0x64831C0C9 4 3 - 0 0 14 14 0x18E 63 19 0x64831C0C9 4 4 - 0 0 14 14 0x18E 63 19 0x64831C0C9 4 5 - 0 0 14 14 0x18E 63 19 0x64831C0C9 4 6 - 0 0 14 14 0x18E 63 19 0x64831C0C9 4 7 - 0 0 14 14 0x18E 63 19 0x64831C0C9 5 0 Disabled 0 0 14 14 0x18E 63 19 0xF19C3605C 5 1 - 0 0 14 14 0x3861CBC75 63 19 0xF252C6000 5 2 - 0 0 14 14 0x18E 63 19 0xF19C3605C 5 3 - 0 0 14 14 0x18E 63 19 0xF19C3605C 5 4 - 0 0 14 14 0x18E 63 19 0xF19C3605C 5 5 - 0 0 14 14 0x18E 63 19 0xF19C3605C 5 6 - 0 0 14 14 0x18E 63 19 0xF19C3605C 5 7 - 0 0 14 14 0x18E 63 19 0xF19C3605C 6 0 Disabled 0 0 14 14 0x3AC 63 19 0x82F000000 6 1 - 0 0 14 14 0x3AC 63 19 0x824950054 6 2 - 0 0 14 14 0x3AC 63 19 0x824950054 6 3 - 0 0 14 14 0x3AC 63 19 0x824950054 6 4 - 0 0 14 14 0x3AC 63 19 0x824950054 6 5 - 0 0 14 14 0x3AC 63 19 0x824950054 6 6 - 0 0 14 14 0x3AC 63 19 0x824950054 6 7 - 0 0 14 14 0x3AC 63 19 0x824950054 7 0 Disabled 0 0 14 14 0x3AC 63 19 0x0 7 1 - 0 0 14 14 0x3AC 63 19 0x0 7 2 - 0 0 14 14 0x3AC 63 19 0x0 7 3 - 0 0 14 14 0x3AC 63 19 0x0 7 4 - 0 0 14 14 0x3AC 63 19 0x0 7 5 - 0 0 14 14 0x3AC 63 19 0x0 7 6 - 0 0 14 14 0x3AC 63 19 0x0 7 7 - 0 0 14 14 0x3AC 63 19 0x0 8 0 Disabled 0 0 14 14 0x5CA 63 19 0x5750058 8 1 - 0 0 14 14 0x5CA 63 19 0x5750058 8 2 - 0 0 14 14 0x5CA 63 19 0x5750058 8 3 - 0 0 14 14 0x5CA 63 19 0x5750058 8 4 - 0 0 14 14 0x5CA 63 19 0x5750058 8 5 - 0 0 14 14 0x5CA 63 19 0x5750058 8 6 - 0 0 14 14 0x5CA 63 19 0x5750058 8 7 - 0 0 14 14 0x20000006F 63 19 0x105F0000 9 0 Disabled 0 0 14 14 0x5CA 63 19 0x0 9 1 - 0 0 14 14 0x5CA 63 19 0x0 9 2 - 0 0 14 14 0x5CA 63 19 0x0 9 3 - 0 0 14 14 0x5CA 63 19 0x0 9 4 - 0 0 14 14 0x5CA 63 19 0x0 9 5 - 0 0 14 14 0x5CA 63 19 0x0 9 6 - 0 0 14 14 0x5CA 63 19 0x0 9 7 - 0 0 14 14 0x5CA 63 19 0x0 10 0 Disabled 0 0 14 14 0x7E8 63 19 0x719386058 10 1 - 0 0 14 14 0x7E8 63 19 0x719386058 10 2 - 0 0 14 14 0x7E8 63 19 0x719386058 10 3 - 0 0 14 14 0x7E8 63 19 0x719386058 10 4 - 0 0 14 14 0x7E8 63 19 0x719386058 10 5 - 0 0 14 14 0x7E8 63 19 0x719386058 10 6 - 0 0 14 14 0x7E8 63 19 0x719386058 10 7 - 0 0 14 14 0x3A50A824B 63 19 0x724226000 11 0 Disabled 0 0 14 14 0x7E8 63 19 0x0 11 1 - 0 0 14 14 0x7E8 63 19 0x0 11 2 - 0 0 14 14 0x7E8 63 19 0x0 11 3 - 0 0 14 14 0x7E8 63 19 0x0 11 4 - 0 0 14 14 0x7E8 63 19 0x0 11 5 - 0 0 14 14 0x7E8 63 19 0x0 11 6 - 0 0 14 14 0x7E8 63 19 0x0 11 7 - 0 0 14 14 0x7E8 63 19 0x0 Phyport TxQ Head Tail Pre Empty Num BaseAddr Size Shape-Ok Empty Packets TxQ Subport ------------------------------------------------------------------------------- 4 0 0x0000 0x0000 True 0 0x1B000 8 True True 4 1 0x0086 0x0086 True 0 0x1B008 600 True True 4 2 0x0000 0x0000 True 0 0x1B260 96 True True 4 3 0x0000 0x0000 True 0 0x1B2C0 24 True True 4 4 0x0000 0x0000 True 0 0x1B2D8 200 True True 4 5 0x0000 0x0000 True 0 0x1B3A0 96 True True 4 6 0x0000 0x0000 True 0 0x1B400 96 True True 4 7 0x0000 0x0000 True 0 0x1B460 96 True True 5 0 0x0000 0x0000 True 0 0x1B4C0 96 True True 5 1 0x01E6 0x01E6 True 0 0x1B520 1000 True True 5 2 0x0000 0x0000 True 0 0x1B908 96 True True 5 3 0x0000 0x0000 True 0 0x1B968 96 True True 5 4 0x0000 0x0000 True 0 0x1B9C8 96 True True 5 5 0x0000 0x0000 True 0 0x1BA28 96 True True 5 6 0x0000 0x0000 True 0 0x1BA88 96 True True 5 7 0x0000 0x0000 True 0 0x1BAE8 96 True True 6 0 0x00A8 0x00A8 True 0 0x1BB48 200 True True 6 1 0x0000 0x0000 True 0 0x1BC10 96 True True 6 2 0x0000 0x0000 True 0 0x1BC70 96 True True 6 3 0x0000 0x0000 True 0 0x1BCD0 200 True True 6 4 0x0000 0x0000 True 0 0x1BD98 200 True True 6 5 0x0000 0x0000 True 0 0x1BE60 96 True True 6 6 0x0000 0x0000 True 0 0x1BEC0 96 True True 6 7 0x0000 0x0000 True 0 0x1BF20 128 True True 7 0 0x0000 0x0000 True 0 0x1BFA0 200 True True 7 1 0x0000 0x0000 True 0 0x1C068 200 True True 7 2 0x0000 0x0000 True 0 0x1C130 200 True True 7 3 0x0000 0x0000 True 0 0x1C1F8 8 True True 7 4 0x0000 0x0000 True 0 0x1C200 8 True True 7 5 0x0000 0x0000 True 0 0x1C208 8 True True 7 6 0x0000 0x0000 True 0 0x1C210 8 True True 7 7 0x0000 0x0000 True 0 0x1C218 8 True True 8 0 0x0000 0x0000 True 0 0x1C220 200 True True 8 1 0x0000 0x0000 True 0 0x1C2E8 200 True True 8 2 0x0000 0x0000 True 0 0x1C3B0 200 True True 8 3 0x0000 0x0000 True 0 0x1C478 200 True True 8 4 0x0000 0x0000 True 0 0x1C540 200 True True 8 5 0x0000 0x0000 True 0 0x1C608 200 True True 8 6 0x0000 0x0000 True 0 0x1C6D0 200 True True 8 7 0x0003 0x0003 True 0 0x1C798 200 True True 9 0 0x0000 0x0000 True 0 0x1C860 200 True True 9 1 0x0000 0x0000 True 0 0x1C928 200 True True 9 2 0x0000 0x0000 True 0 0x1C9F0 200 True True 9 3 0x0000 0x0000 True 0 0x1CAB8 200 True True 9 4 0x0000 0x0000 True 0 0x1CB80 200 True True 9 5 0x0000 0x0000 True 0 0x1CC48 200 True True 9 6 0x0000 0x0000 True 0 0x1CD10 200 True True 9 7 0x0000 0x0000 True 0 0x1CDD8 200 True True 10 0 0x0000 0x0000 True 0 0x1CEA0 304 True True 10 1 0x0000 0x0000 True 0 0x1CFD0 304 True True 10 2 0x0000 0x0000 True 0 0x1D100 304 True True 10 3 0x0000 0x0000 True 0 0x1D230 304 True True 10 4 0x0000 0x0000 True 0 0x1D360 304 True True 10 5 0x0000 0x0000 True 0 0x1D490 304 True True 10 6 0x0000 0x0000 True 0 0x1D5C0 304 True True 10 7 0x0098 0x0098 True 0 0x1D6F0 304 True True 11 0 0x0000 0x0000 True 0 0x1D820 304 True True 11 1 0x0000 0x0000 True 0 0x1D950 304 True True 11 2 0x0000 0x0000 True 0 0x1DA80 304 True True 11 3 0x0000 0x0000 True 0 0x1DBB0 304 True True 11 4 0x0000 0x0000 True 0 0x1DCE0 304 True True 11 5 0x0000 0x0000 True 0 0x1DE10 304 True True 11 6 0x0000 0x0000 True 0 0x1DF40 304 True True 11 7 0x0000 0x0000 True 0 0x1E070 304 True True Hardware Phyport Tx-Queue State for all interfaces -------------------------------------------------- Phyport TxQ High Shape Shape-Burst Shape Share Share Priority Mant. Exp. Mant. Exp. NextTime Mant. Exp NextBytes -------------------------------------------------------------------------------- Gi1/3 0 Disabled 0 0 14 14 0x310207EF0 63 19 0xF822EE6DA Gi1/3 1 - 0 0 14 14 0x8C 63 19 0xF822EE6DA Gi1/3 2 - 0 0 14 14 0x8C 63 19 0xF822EE6DA Gi1/3 3 - 0 0 14 14 0x8C 63 19 0xF822EE6DA Gi1/3 4 - 0 0 14 14 0x8C 63 19 0xF822EE6DA Gi1/3 5 - 0 0 14 14 0x8C 63 19 0xF822EE6DA Gi1/3 6 - 0 0 14 14 0x8C 63 19 0xF822EE6DA Gi1/3 7 - 0 0 14 14 0x3AF43C8DD 43 15 0xF86603C58 Gi1/4 0 Disabled 0 0 14 14 0x34D2F70F3 63 19 0xA0CFF1A5A Gi1/4 1 - 0 0 14 14 0x95 63 19 0xA12081E5A Gi1/4 2 - 0 0 14 14 0x95 63 19 0xA1711225A Gi1/4 3 - 0 0 14 14 0x95 63 19 0xA1711225A Gi1/4 4 - 0 0 14 14 0x95 63 19 0xA1711225A Gi1/4 5 - 0 0 14 14 0x95 63 19 0xA1711225A Gi1/4 6 - 0 0 14 14 0x95 63 19 0xA1711225A Gi1/4 7 - 0 0 14 14 0x3AF525239 43 15 0xA1D3F8A54 Gi1/5 0 Disabled 0 0 14 14 0x200000078 63 19 0x0 Gi1/5 1 - 0 0 14 14 0x200000078 63 19 0x0 Gi1/5 2 - 0 0 14 14 0x200000078 63 19 0x0 Gi1/5 3 - 0 0 14 14 0x200000078 63 19 0x0 Gi1/5 4 - 0 0 14 14 0x200000078 63 19 0x0 Gi1/5 5 - 0 0 14 14 0x200000078 63 19 0x0 Gi1/5 6 - 0 0 14 14 0x200000078 63 19 0x0 Gi1/5 7 - 0 0 14 14 0x200000078 43 15 0x0 Gi1/6 0 Disabled 0 0 14 14 0x200000081 63 19 0x0 Gi1/6 1 - 0 0 14 14 0x200000081 63 19 0x0 Gi1/6 2 - 0 0 14 14 0x200000081 63 19 0x0 Gi1/6 3 - 0 0 14 14 0x200000081 63 19 0x0 Gi1/6 4 - 0 0 14 14 0x200000081 63 19 0x0 Gi1/6 5 - 0 0 14 14 0x200000081 63 19 0x0 Gi1/6 6 - 0 0 14 14 0x200000081 63 19 0x0 Gi1/6 7 - 0 0 14 14 0x200000081 43 15 0x0 Gi2/2 0 Disabled 0 0 14 14 0x455 63 19 0x38DA2582C Gi2/2 1 - 0 0 14 14 0x455 63 19 0x36EF03A1B Gi2/2 2 - 0 0 14 14 0x455 63 19 0x36EF03A1B Gi2/2 3 - 0 0 14 14 0x455 63 19 0x36EF03A1B Gi2/2 4 - 0 0 14 14 0x455 63 19 0x36EF03A1B Gi2/2 5 - 0 0 14 14 0x455 63 19 0x36EF03A1B Gi2/2 6 - 0 0 14 14 0x455 63 19 0x36EF03A1B Gi2/2 7 - 0 0 14 14 0x31E42ABD2 43 15 0x3508CAF98 Gi2/1 0 Disabled 0 0 14 14 0x455 63 19 0x0 Gi2/1 1 - 0 0 14 14 0x455 63 19 0x0 Gi2/1 2 - 0 0 14 14 0x455 63 19 0x0 Gi2/1 3 - 0 0 14 14 0x455 63 19 0x0 Gi2/1 4 - 0 0 14 14 0x455 63 19 0x0 Gi2/1 5 - 0 0 14 14 0x455 63 19 0x0 Gi2/1 6 - 0 0 14 14 0x455 63 19 0x0 Gi2/1 7 - 0 0 14 14 0x455 43 15 0x0 Gi2/4 0 Disabled 0 0 14 14 0x18 63 19 0x34AFC062C Gi2/4 1 - 0 0 14 14 0x18 63 19 0x32C49E81B Gi2/4 2 - 0 0 14 14 0x18 63 19 0x32C49E81B Gi2/4 3 - 0 0 14 14 0x18 63 19 0x32C49E81B Gi2/4 4 - 0 0 14 14 0x18 63 19 0x32C49E81B Gi2/4 5 - 0 0 14 14 0x18 63 19 0x32C49E81B Gi2/4 6 - 0 0 14 14 0x18 63 19 0x32C49E81B Gi2/4 7 - 0 0 14 14 0x2B0872E6E 43 15 0x30DE65D98 Gi2/3 0 Disabled 0 0 14 14 0x18 63 19 0x700EA2B85 Gi2/3 1 - 0 0 14 14 0x18 63 19 0x6F5C174CE Gi2/3 2 - 0 0 14 14 0x18 63 19 0x6F5C174CE Gi2/3 3 - 0 0 14 14 0x18 63 19 0x6F5C174CE Gi2/3 4 - 0 0 14 14 0x18 63 19 0x6F5C174CE Gi2/3 5 - 0 0 14 14 0x18 63 19 0x6F5C174CE Gi2/3 6 - 0 0 14 14 0x18 63 19 0x6F5C174CE Gi2/3 7 - 0 0 14 14 0x39872B106 43 15 0x6F64DE47A Phyport TxQ High Shape Shape-Burst Shape Share Share Priority Mant. Exp. Mant. Exp. NextTime Mant. Exp NextBytes -------------------------------------------------------------------------------- Gi2/6 0 Disabled 0 0 14 14 0x237 63 19 0x5CD695A28 Gi2/6 1 - 0 0 14 14 0x237 63 19 0x5C5A7B028 Gi2/6 2 - 0 0 14 14 0x237 63 19 0x5C5A7B028 Gi2/6 3 - 0 0 14 14 0x237 63 19 0x5C5A7B028 Gi2/6 4 - 0 0 14 14 0x237 63 19 0x5C5A7B028 Gi2/6 5 - 0 0 14 14 0x237 63 19 0x5C5A7B028 Gi2/6 6 - 0 0 14 14 0x237 63 19 0x5C5A7B028 Gi2/6 7 - 0 0 14 14 0x3695B31CC 43 15 0x5C6341FD4 Gi2/5 0 Disabled 0 0 14 14 0x237 63 19 0x6FC7A1DBE Gi2/5 1 - 0 0 14 14 0x200000555 63 19 0x6F02BFDBE Gi2/5 2 - 0 0 14 14 0x200000555 63 19 0x6F02BFDBE Gi2/5 3 - 0 0 14 14 0x200000555 63 19 0x6F02BFDBE Gi2/5 4 - 0 0 14 14 0x200000555 63 19 0x6F02BFDBE Gi2/5 5 - 0 0 14 14 0x200000555 63 19 0x6F02BFDBE Gi2/5 6 - 0 0 14 14 0x200000555 63 19 0x6F02BFDBE Gi2/5 7 - 0 0 14 14 0x39872B222 43 15 0x6F0B86D6A Gi2/8 0 Disabled 0 0 14 14 0x240 63 19 0x0 Gi2/8 1 - 0 0 14 14 0x240 63 19 0x0 Gi2/8 2 - 0 0 14 14 0x240 63 19 0x0 Gi2/8 3 - 0 0 14 14 0x240 63 19 0x0 Gi2/8 4 - 0 0 14 14 0x240 63 19 0x0 Gi2/8 5 - 0 0 14 14 0x240 63 19 0x0 Gi2/8 6 - 0 0 14 14 0x240 63 19 0x0 Gi2/8 7 - 0 0 14 14 0x240 43 15 0x0 Gi2/7 0 Disabled 0 0 14 14 0x20000055D 63 19 0xA989C539E Gi2/7 1 - 0 0 14 14 0x20000055D 63 19 0xA967E541E Gi2/7 2 - 0 0 14 14 0x20000055D 63 19 0xA967E541E Gi2/7 3 - 0 0 14 14 0x20000055D 63 19 0xA967E541E Gi2/7 4 - 0 0 14 14 0x20000055D 63 19 0xA967E541E Gi2/7 5 - 0 0 14 14 0x20000055D 63 19 0xA967E541E Gi2/7 6 - 0 0 14 14 0x20000055D 63 19 0xA967E541E Gi2/7 7 - 0 0 14 14 0x2BC45B2E3 43 15 0xA987F96EB Gi2/10 0 Disabled 0 0 14 14 0x200000121 63 19 0xA6313631D Gi2/10 1 - 0 0 14 14 0x200000121 63 19 0xA44516F6D Gi2/10 2 - 0 0 14 14 0x200000121 63 19 0xA44516F6D Gi2/10 3 - 0 0 14 14 0x200000121 63 19 0xA44516F6D Gi2/10 4 - 0 0 14 14 0x200000121 63 19 0xA44516F6D Gi2/10 5 - 0 0 14 14 0x200000121 63 19 0xA44516F6D Gi2/10 6 - 0 0 14 14 0x200000121 63 19 0xA44516F6D Gi2/10 7 - 0 0 14 14 0x7CE73B51 43 15 0xA2E81F497 Gi2/9 0 Disabled 0 0 14 14 0x45E 63 19 0x0 Gi2/9 1 - 0 0 14 14 0x45E 63 19 0x0 Gi2/9 2 - 0 0 14 14 0x45E 63 19 0x0 Gi2/9 3 - 0 0 14 14 0x45E 63 19 0x0 Gi2/9 4 - 0 0 14 14 0x45E 63 19 0x0 Gi2/9 5 - 0 0 14 14 0x45E 63 19 0x0 Gi2/9 6 - 0 0 14 14 0x45E 63 19 0x0 Gi2/9 7 - 0 0 14 14 0x45E 43 15 0x0 Gi2/12 0 Disabled 0 0 14 14 0x21 63 19 0x3B85FAA2D Gi2/12 1 - 0 0 14 14 0x21 63 19 0x3999DACCD Gi2/12 2 - 0 0 14 14 0x21 63 19 0x3999DACCD Gi2/12 3 - 0 0 14 14 0x21 63 19 0x3999DACCD Gi2/12 4 - 0 0 14 14 0x21 63 19 0x3999DACCD Gi2/12 5 - 0 0 14 14 0x21 63 19 0x3999DACCD Gi2/12 6 - 0 0 14 14 0x21 63 19 0x3999DACCD Gi2/12 7 - 0 0 14 14 0x83CB38E5 43 15 0x37BB85E96 Gi2/11 0 Disabled 0 0 14 14 0x21 63 19 0x3A54FFA2D Gi2/11 1 - 0 0 14 14 0x21 63 19 0x3868DFC1D Gi2/11 2 - 0 0 14 14 0x21 63 19 0x3868DFC1D Gi2/11 3 - 0 0 14 14 0x21 63 19 0x3868DFC1D Gi2/11 4 - 0 0 14 14 0x21 63 19 0x3868DFC1D Gi2/11 5 - 0 0 14 14 0x21 63 19 0x3868DFC1D Gi2/11 6 - 0 0 14 14 0x21 63 19 0x3868DFC1D Gi2/11 7 - 0 0 14 14 0x2A25A9089 43 15 0x3681A919A Phyport TxQ High Shape Shape-Burst Shape Share Share Priority Mant. Exp. Mant. Exp. NextTime Mant. Exp NextBytes -------------------------------------------------------------------------------- Gi2/14 0 Disabled 0 0 14 14 0x2A 63 19 0xEBCC70CDD Gi2/14 1 - 0 0 14 14 0x2A 63 19 0xE9E051935 Gi2/14 2 - 0 0 14 14 0x2A 63 19 0xE9E051935 Gi2/14 3 - 0 0 14 14 0x2A 63 19 0xE9E051935 Gi2/14 4 - 0 0 14 14 0x2A 63 19 0xE9E051935 Gi2/14 5 - 0 0 14 14 0x2A 63 19 0xE9E051935 Gi2/14 6 - 0 0 14 14 0x2A 63 19 0xE9E051935 Gi2/14 7 - 0 0 14 14 0x2A 43 15 0xE92434A89 Gi2/13 0 Disabled 0 0 14 14 0x2A 63 19 0x0 Gi2/13 1 - 0 0 14 14 0x2A 63 19 0x0 Gi2/13 2 - 0 0 14 14 0x2A 63 19 0x0 Gi2/13 3 - 0 0 14 14 0x2A 63 19 0x0 Gi2/13 4 - 0 0 14 14 0x2A 63 19 0x0 Gi2/13 5 - 0 0 14 14 0x2A 63 19 0x0 Gi2/13 6 - 0 0 14 14 0x2A 63 19 0x0 Gi2/13 7 - 0 0 14 14 0x2A 43 15 0x0 Gi2/16 0 Disabled 0 0 14 14 0x200000566 63 19 0x33E78353F Gi2/16 1 - 0 0 14 14 0x200000566 63 19 0x31FB63787 Gi2/16 2 - 0 0 14 14 0x200000566 63 19 0x31FB63787 Gi2/16 3 - 0 0 14 14 0x200000566 63 19 0x31FB63787 Gi2/16 4 - 0 0 14 14 0x200000566 63 19 0x31FB63787 Gi2/16 5 - 0 0 14 14 0x200000566 63 19 0x31FB63787 Gi2/16 6 - 0 0 14 14 0x200000566 63 19 0x31FB63787 Gi2/16 7 - 0 0 14 14 0x248 43 15 0x3018C5CAC Gi2/15 0 Disabled 0 0 14 14 0x248 63 19 0x95E6DD622 Gi2/15 1 - 0 0 14 14 0x248 63 19 0x95E6DD622 Gi2/15 2 - 0 0 14 14 0x248 63 19 0x95E6DD622 Gi2/15 3 - 0 0 14 14 0x248 63 19 0x95E6DD622 Gi2/15 4 - 0 0 14 14 0x248 63 19 0x95E6DD622 Gi2/15 5 - 0 0 14 14 0x248 63 19 0x95E6DD622 Gi2/15 6 - 0 0 14 14 0x248 63 19 0x95E6DD622 Gi2/15 7 - 0 0 14 14 0x394CF37E9 43 15 0x95F2AC1CE Gi2/18 0 Disabled 0 0 14 14 0x20000012A 63 19 0x38F40B33F Gi2/18 1 - 0 0 14 14 0x467 63 19 0x3707EB52F Gi2/18 2 - 0 0 14 14 0x467 63 19 0x3707EB52F Gi2/18 3 - 0 0 14 14 0x467 63 19 0x3707EB52F Gi2/18 4 - 0 0 14 14 0x467 63 19 0x3707EB52F Gi2/18 5 - 0 0 14 14 0x467 63 19 0x3707EB52F Gi2/18 6 - 0 0 14 14 0x467 63 19 0x3707EB52F Gi2/18 7 - 0 0 14 14 0x20000012A 43 15 0x3520B4AAC Gi2/17 0 Disabled 0 0 14 14 0x467 63 19 0x67357337B Gi2/17 1 - 0 0 14 14 0x20000012A 63 19 0x666F9337B Gi2/17 2 - 0 0 14 14 0x20000012A 63 19 0x666F9337B Gi2/17 3 - 0 0 14 14 0x20000012A 63 19 0x666F9337B Gi2/17 4 - 0 0 14 14 0x20000012A 63 19 0x666F9337B Gi2/17 5 - 0 0 14 14 0x20000012A 63 19 0x666F9337B Gi2/17 6 - 0 0 14 14 0x20000012A 63 19 0x666F9337B Gi2/17 7 - 0 0 14 14 0x39872B121 43 15 0x66785A327 Gi2/20 0 Disabled 0 0 14 14 0x470 63 19 0x0 Gi2/20 1 - 0 0 14 14 0x470 63 19 0x0 Gi2/20 2 - 0 0 14 14 0x470 63 19 0x0 Gi2/20 3 - 0 0 14 14 0x470 63 19 0x0 Gi2/20 4 - 0 0 14 14 0x470 63 19 0x0 Gi2/20 5 - 0 0 14 14 0x470 63 19 0x0 Gi2/20 6 - 0 0 14 14 0x470 63 19 0x0 Gi2/20 7 - 0 0 14 14 0x470 43 15 0x0 Gi2/19 0 Disabled 0 0 14 14 0x470 63 19 0x3788E6B3F Gi2/19 1 - 0 0 14 14 0x470 63 19 0x359CC6D87 Gi2/19 2 - 0 0 14 14 0x470 63 19 0x359CC6D87 Gi2/19 3 - 0 0 14 14 0x470 63 19 0x359CC6D87 Gi2/19 4 - 0 0 14 14 0x470 63 19 0x359CC6D87 Gi2/19 5 - 0 0 14 14 0x470 63 19 0x359CC6D87 Gi2/19 6 - 0 0 14 14 0x470 63 19 0x359CC6D87 Gi2/19 7 - 0 0 14 14 0x200000133 43 15 0x33BA292AC Phyport TxQ High Shape Shape-Burst Shape Share Share Priority Mant. Exp. Mant. Exp. NextTime Mant. Exp NextBytes -------------------------------------------------------------------------------- Gi2/22 0 Disabled 0 0 14 14 0x33 63 19 0x36E7C65A9 Gi2/22 1 - 0 0 14 14 0x33 63 19 0x34FBA67F1 Gi2/22 2 - 0 0 14 14 0x33 63 19 0x34FBA67F1 Gi2/22 3 - 0 0 14 14 0x33 63 19 0x34FBA67F1 Gi2/22 4 - 0 0 14 14 0x33 63 19 0x34FBA67F1 Gi2/22 5 - 0 0 14 14 0x33 63 19 0x34FBA67F1 Gi2/22 6 - 0 0 14 14 0x33 63 19 0x34FBA67F1 Gi2/22 7 - 0 0 14 14 0x200000351 43 15 0x331908D16 Gi2/21 0 Disabled 0 0 14 14 0x33 63 19 0x0 Gi2/21 1 - 0 0 14 14 0x33 63 19 0x0 Gi2/21 2 - 0 0 14 14 0x33 63 19 0x0 Gi2/21 3 - 0 0 14 14 0x33 63 19 0x0 Gi2/21 4 - 0 0 14 14 0x33 63 19 0x0 Gi2/21 5 - 0 0 14 14 0x33 63 19 0x0 Gi2/21 6 - 0 0 14 14 0x33 63 19 0x0 Gi2/21 7 - 0 0 14 14 0x33 43 15 0x0 Gi2/24 0 Disabled 0 0 14 14 0x251 63 19 0x2E37C6000 Gi2/24 1 - 0 0 14 14 0x251 63 19 0x2A5F861F0 Gi2/24 2 - 0 0 14 14 0x251 63 19 0x2A5F861F0 Gi2/24 3 - 0 0 14 14 0x251 63 19 0x2A5F861F0 Gi2/24 4 - 0 0 14 14 0x251 63 19 0x2A5F861F0 Gi2/24 5 - 0 0 14 14 0x251 63 19 0x2A5F861F0 Gi2/24 6 - 0 0 14 14 0x251 63 19 0x2A5F861F0 Gi2/24 7 - 0 0 14 14 0x251 43 15 0x2A5F861F0 Gi2/23 0 Disabled 0 0 14 14 0x251 63 19 0x0 Gi2/23 1 - 0 0 14 14 0x251 63 19 0x0 Gi2/23 2 - 0 0 14 14 0x251 63 19 0x0 Gi2/23 3 - 0 0 14 14 0x251 63 19 0x0 Gi2/23 4 - 0 0 14 14 0x251 63 19 0x0 Gi2/23 5 - 0 0 14 14 0x251 63 19 0x0 Gi2/23 6 - 0 0 14 14 0x251 63 19 0x0 Gi2/23 7 - 0 0 14 14 0x251 43 15 0x0 Gi2/26 0 Disabled 0 0 14 14 0x27E 63 19 0x0 Gi2/26 1 - 0 0 14 14 0x27E 63 19 0x0 Gi2/26 2 - 0 0 14 14 0x27E 63 19 0x0 Gi2/26 3 - 0 0 14 14 0x27E 63 19 0x0 Gi2/26 4 - 0 0 14 14 0x27E 63 19 0x0 Gi2/26 5 - 0 0 14 14 0x27E 63 19 0x0 Gi2/26 6 - 0 0 14 14 0x27E 63 19 0x0 Gi2/26 7 - 0 0 14 14 0x27E 43 15 0x0 Gi2/25 0 Disabled 0 0 14 14 0x20000059C 63 19 0x1161D1F87 Gi2/25 1 - 0 0 14 14 0x20000059C 63 19 0x1161D1F87 Gi2/25 2 - 0 0 14 14 0x20000059C 63 19 0x1161D1F87 Gi2/25 3 - 0 0 14 14 0x20000059C 63 19 0x1161D1F87 Gi2/25 4 - 0 0 14 14 0x20000059C 63 19 0x1161D1F87 Gi2/25 5 - 0 0 14 14 0x20000059C 63 19 0x1161D1F87 Gi2/25 6 - 0 0 14 14 0x20000059C 63 19 0x1161D1F87 Gi2/25 7 - 0 0 14 14 0x3A0D26819 43 15 0x1172042EC Gi2/28 0 Disabled 0 0 14 14 0x49C 63 19 0xABD06007D Gi2/28 1 - 0 0 14 14 0x49C 63 19 0xAAA46947D Gi2/28 2 - 0 0 14 14 0x49C 63 19 0xAAA46947D Gi2/28 3 - 0 0 14 14 0x49C 63 19 0xAAA46947D Gi2/28 4 - 0 0 14 14 0x49C 63 19 0xAAA46947D Gi2/28 5 - 0 0 14 14 0x49C 63 19 0xAAA46947D Gi2/28 6 - 0 0 14 14 0x49C 63 19 0xAAA46947D Gi2/28 7 - 0 0 14 14 0x37C2D4870 43 15 0xAAAD30429 Gi2/27 0 Disabled 0 0 14 14 0x20000015F 63 19 0xEA098DD9 Gi2/27 1 - 0 0 14 14 0x20000015F 63 19 0xEA098DD9 Gi2/27 2 - 0 0 14 14 0x20000015F 63 19 0xEA098DD9 Gi2/27 3 - 0 0 14 14 0x20000015F 63 19 0xEA098DD9 Gi2/27 4 - 0 0 14 14 0x20000015F 63 19 0xEA098DD9 Gi2/27 5 - 0 0 14 14 0x20000015F 63 19 0xEA098DD9 Gi2/27 6 - 0 0 14 14 0x20000015F 63 19 0xEA098DD9 Gi2/27 7 - 0 0 14 14 0x3BBAC8536 43 15 0xEBFF1BD5 Phyport TxQ High Shape Shape-Burst Shape Share Share Priority Mant. Exp. Mant. Exp. NextTime Mant. Exp NextBytes -------------------------------------------------------------------------------- Gi2/30 0 Disabled 0 0 14 14 0x20000037D 63 19 0x9B02BD029 Gi2/30 1 - 0 0 14 14 0x20000037D 63 19 0x9B02BD029 Gi2/30 2 - 0 0 14 14 0x20000037D 63 19 0x9B02BD029 Gi2/30 3 - 0 0 14 14 0x20000037D 63 19 0x9B02BD029 Gi2/30 4 - 0 0 14 14 0x20000037D 63 19 0x9B02BD029 Gi2/30 5 - 0 0 14 14 0x20000037D 63 19 0x9B02BD029 Gi2/30 6 - 0 0 14 14 0x20000037D 63 19 0x9B02BD029 Gi2/30 7 - 0 0 14 14 0x3BFD7B74C 43 15 0x9B0B83FD5 Gi2/29 0 Disabled 0 0 14 14 0x60 63 19 0x7228233F0 Gi2/29 1 - 0 0 14 14 0x60 63 19 0x717499D39 Gi2/29 2 - 0 0 14 14 0x60 63 19 0x717499D39 Gi2/29 3 - 0 0 14 14 0x60 63 19 0x717499D39 Gi2/29 4 - 0 0 14 14 0x60 63 19 0x717499D39 Gi2/29 5 - 0 0 14 14 0x60 63 19 0x717499D39 Gi2/29 6 - 0 0 14 14 0x60 63 19 0x717499D39 Gi2/29 7 - 0 0 14 14 0x39872B118 43 15 0x717D60CE5 Gi2/32 0 Disabled 0 0 14 14 0x2000005A5 63 19 0xBD1EE3A29 Gi2/32 1 - 0 0 14 14 0x2000005A5 63 19 0xBD1EE3A29 Gi2/32 2 - 0 0 14 14 0x2000005A5 63 19 0xBD1EE3A29 Gi2/32 3 - 0 0 14 14 0x2000005A5 63 19 0xBD1EE3A29 Gi2/32 4 - 0 0 14 14 0x2000005A5 63 19 0xBD1EE3A29 Gi2/32 5 - 0 0 14 14 0x2000005A5 63 19 0xBD1EE3A29 Gi2/32 6 - 0 0 14 14 0x2000005A5 63 19 0xBD1EE3A29 Gi2/32 7 - 0 0 14 14 0x3B85A6701 43 15 0xBD3E3C9D5 Gi2/31 0 Disabled 0 0 14 14 0x2000005A5 63 19 0x277B0D7D9 Gi2/31 1 - 0 0 14 14 0x2000005A5 63 19 0x277B0D7D9 Gi2/31 2 - 0 0 14 14 0x2000005A5 63 19 0x277B0D7D9 Gi2/31 3 - 0 0 14 14 0x2000005A5 63 19 0x277B0D7D9 Gi2/31 4 - 0 0 14 14 0x2000005A5 63 19 0x277B0D7D9 Gi2/31 5 - 0 0 14 14 0x2000005A5 63 19 0x277B0D7D9 Gi2/31 6 - 0 0 14 14 0x2000005A5 63 19 0x277B0D7D9 Gi2/31 7 - 0 0 14 14 0x3BA43943E 43 15 0x279A665D5 Gi2/34 0 Disabled 0 0 14 14 0x200000168 63 19 0x7E151AE29 Gi2/34 1 - 0 0 14 14 0x200000168 63 19 0x7E151AE29 Gi2/34 2 - 0 0 14 14 0x200000168 63 19 0x7E151AE29 Gi2/34 3 - 0 0 14 14 0x200000168 63 19 0x7E151AE29 Gi2/34 4 - 0 0 14 14 0x200000168 63 19 0x7E151AE29 Gi2/34 5 - 0 0 14 14 0x200000168 63 19 0x7E151AE29 Gi2/34 6 - 0 0 14 14 0x200000168 63 19 0x7E151AE29 Gi2/34 7 - 0 0 14 14 0x3B85A681D 43 15 0x7E3473DD5 Gi2/33 0 Disabled 0 0 14 14 0x4A5 63 19 0x0 Gi2/33 1 - 0 0 14 14 0x4A5 63 19 0x0 Gi2/33 2 - 0 0 14 14 0x4A5 63 19 0x0 Gi2/33 3 - 0 0 14 14 0x4A5 63 19 0x0 Gi2/33 4 - 0 0 14 14 0x4A5 63 19 0x0 Gi2/33 5 - 0 0 14 14 0x4A5 63 19 0x0 Gi2/33 6 - 0 0 14 14 0x4A5 63 19 0x0 Gi2/33 7 - 0 0 14 14 0x4A5 43 15 0x0 Gi2/36 0 Disabled 0 0 14 14 0x200000386 63 19 0xE1F2E3229 Gi2/36 1 - 0 0 14 14 0x200000386 63 19 0xE1F2E3229 Gi2/36 2 - 0 0 14 14 0x200000386 63 19 0xE1F2E3229 Gi2/36 3 - 0 0 14 14 0x200000386 63 19 0xE1F2E3229 Gi2/36 4 - 0 0 14 14 0x200000386 63 19 0xE1F2E3229 Gi2/36 5 - 0 0 14 14 0x200000386 63 19 0xE1F2E3229 Gi2/36 6 - 0 0 14 14 0x200000386 63 19 0xE1F2E3229 Gi2/36 7 - 0 0 14 14 0x3BB2CC2F1 43 15 0xE1FBAA1D5 Gi2/35 0 Disabled 0 0 14 14 0x200000386 63 19 0x544CC7029 Gi2/35 1 - 0 0 14 14 0x200000386 63 19 0x544CC7029 Gi2/35 2 - 0 0 14 14 0x200000386 63 19 0x544CC7029 Gi2/35 3 - 0 0 14 14 0x200000386 63 19 0x544CC7029 Gi2/35 4 - 0 0 14 14 0x200000386 63 19 0x544CC7029 Gi2/35 5 - 0 0 14 14 0x200000386 63 19 0x544CC7029 Gi2/35 6 - 0 0 14 14 0x200000386 63 19 0x544CC7029 Gi2/35 7 - 0 0 14 14 0x3BA43B1EE 43 15 0x54558DFD5 Phyport TxQ High Shape Shape-Burst Shape Share Share Priority Mant. Exp. Mant. Exp. NextTime Mant. Exp NextBytes -------------------------------------------------------------------------------- Gi2/38 0 Disabled 0 0 14 14 0x200000171 63 19 0xE13255229 Gi2/38 1 - 0 0 14 14 0x200000171 63 19 0xE13255229 Gi2/38 2 - 0 0 14 14 0x200000171 63 19 0xE13255229 Gi2/38 3 - 0 0 14 14 0x200000171 63 19 0xE13255229 Gi2/38 4 - 0 0 14 14 0x200000171 63 19 0xE13255229 Gi2/38 5 - 0 0 14 14 0x200000171 63 19 0xE13255229 Gi2/38 6 - 0 0 14 14 0x200000171 63 19 0xE13255229 Gi2/38 7 - 0 0 14 14 0x3C727D712 43 15 0xE13B1C1D5 Gi2/37 0 Disabled 0 0 14 14 0x4AE 63 19 0x972D09822 Gi2/37 1 - 0 0 14 14 0x4AE 63 19 0x972D09822 Gi2/37 2 - 0 0 14 14 0x4AE 63 19 0x972D09822 Gi2/37 3 - 0 0 14 14 0x4AE 63 19 0x972D09822 Gi2/37 4 - 0 0 14 14 0x4AE 63 19 0x972D09822 Gi2/37 5 - 0 0 14 14 0x4AE 63 19 0x972D09822 Gi2/37 6 - 0 0 14 14 0x4AE 63 19 0x972D09822 Gi2/37 7 - 0 0 14 14 0x394CC9F6E 43 15 0x9738D83CE Gi2/40 0 Disabled 0 0 14 14 0x20000038F 63 19 0x95D962A22 Gi2/40 1 - 0 0 14 14 0x71 63 19 0x95D962A22 Gi2/40 2 - 0 0 14 14 0x71 63 19 0x95D962A22 Gi2/40 3 - 0 0 14 14 0x71 63 19 0x95D962A22 Gi2/40 4 - 0 0 14 14 0x71 63 19 0x95D962A22 Gi2/40 5 - 0 0 14 14 0x71 63 19 0x95D962A22 Gi2/40 6 - 0 0 14 14 0x71 63 19 0x95D962A22 Gi2/40 7 - 0 0 14 14 0x394D72DC2 43 15 0x95E5315CE Gi2/39 0 Disabled 0 0 14 14 0x71 63 19 0x971859022 Gi2/39 1 - 0 0 14 14 0x71 63 19 0x971859022 Gi2/39 2 - 0 0 14 14 0x71 63 19 0x971859022 Gi2/39 3 - 0 0 14 14 0x71 63 19 0x971859022 Gi2/39 4 - 0 0 14 14 0x71 63 19 0x971859022 Gi2/39 5 - 0 0 14 14 0x71 63 19 0x971859022 Gi2/39 6 - 0 0 14 14 0x71 63 19 0x971859022 Gi2/39 7 - 0 0 14 14 0x394D1CA47 43 15 0x972427BCE Gi2/42 0 Disabled 0 0 14 14 0x290 63 19 0x96E336A22 Gi2/42 1 - 0 0 14 14 0x290 63 19 0x96E336A22 Gi2/42 2 - 0 0 14 14 0x290 63 19 0x96E336A22 Gi2/42 3 - 0 0 14 14 0x290 63 19 0x96E336A22 Gi2/42 4 - 0 0 14 14 0x290 63 19 0x96E336A22 Gi2/42 5 - 0 0 14 14 0x290 63 19 0x96E336A22 Gi2/42 6 - 0 0 14 14 0x290 63 19 0x96E336A22 Gi2/42 7 - 0 0 14 14 0x394D447BE 43 15 0x96EF055CE Gi2/41 0 Disabled 0 0 14 14 0x290 63 19 0x0 Gi2/41 1 - 0 0 14 14 0x290 63 19 0x0 Gi2/41 2 - 0 0 14 14 0x290 63 19 0x0 Gi2/41 3 - 0 0 14 14 0x290 63 19 0x0 Gi2/41 4 - 0 0 14 14 0x290 63 19 0x0 Gi2/41 5 - 0 0 14 14 0x290 63 19 0x0 Gi2/41 6 - 0 0 14 14 0x290 63 19 0x0 Gi2/41 7 - 0 0 14 14 0x290 43 15 0x0 Gi2/44 0 Disabled 0 0 14 14 0x298 63 19 0x7047869D3 Gi2/44 1 - 0 0 14 14 0x298 63 19 0x6F93FD31C Gi2/44 2 - 0 0 14 14 0x298 63 19 0x6F93FD31C Gi2/44 3 - 0 0 14 14 0x298 63 19 0x6F93FD31C Gi2/44 4 - 0 0 14 14 0x298 63 19 0x6F93FD31C Gi2/44 5 - 0 0 14 14 0x298 63 19 0x6F93FD31C Gi2/44 6 - 0 0 14 14 0x298 63 19 0x6F93FD31C Gi2/44 7 - 0 0 14 14 0x39872B211 43 15 0x6F9CC42C8 Gi2/43 0 Disabled 0 0 14 14 0x298 63 19 0x76AFAB6AF Gi2/43 1 - 0 0 14 14 0x298 63 19 0x75D60BAAF Gi2/43 2 - 0 0 14 14 0x298 63 19 0x75D60BAAF Gi2/43 3 - 0 0 14 14 0x298 63 19 0x75D60BAAF Gi2/43 4 - 0 0 14 14 0x298 63 19 0x75D60BAAF Gi2/43 5 - 0 0 14 14 0x298 63 19 0x75D60BAAF Gi2/43 6 - 0 0 14 14 0x298 63 19 0x75D60BAAF Gi2/43 7 - 0 0 14 14 0x39872B0F4 43 15 0x75DED2A5B Phyport TxQ High Shape Shape-Burst Shape Share Share Priority Mant. Exp. Mant. Exp. NextTime Mant. Exp NextBytes -------------------------------------------------------------------------------- Gi2/46 0 Disabled 0 0 14 14 0x4B7 63 19 0x33736FE2E Gi2/46 1 - 0 0 14 14 0x20000017A 63 19 0x318750B42 Gi2/46 2 - 0 0 14 14 0x20000017A 63 19 0x318750B42 Gi2/46 3 - 0 0 14 14 0x20000017A 63 19 0x318750B42 Gi2/46 4 - 0 0 14 14 0x20000017A 63 19 0x318750B42 Gi2/46 5 - 0 0 14 14 0x20000017A 63 19 0x318750B42 Gi2/46 6 - 0 0 14 14 0x20000017A 63 19 0x318750B42 Gi2/46 7 - 0 0 14 14 0x362B77ECE 43 15 0x3034967D7 Gi2/45 0 Disabled 0 0 14 14 0x4B7 63 19 0x338583A2E Gi2/45 1 - 0 0 14 14 0x20000017A 63 19 0x319964742 Gi2/45 2 - 0 0 14 14 0x20000017A 63 19 0x319964742 Gi2/45 3 - 0 0 14 14 0x20000017A 63 19 0x319964742 Gi2/45 4 - 0 0 14 14 0x20000017A 63 19 0x319964742 Gi2/45 5 - 0 0 14 14 0x20000017A 63 19 0x319964742 Gi2/45 6 - 0 0 14 14 0x20000017A 63 19 0x319964742 Gi2/45 7 - 0 0 14 14 0x362B77DB1 43 15 0x3046AA3D7 Gi2/48 0 Disabled 0 0 14 14 0x7A 63 19 0x0 Gi2/48 1 - 0 0 14 14 0x7A 63 19 0x0 Gi2/48 2 - 0 0 14 14 0x7A 63 19 0x0 Gi2/48 3 - 0 0 14 14 0x7A 63 19 0x0 Gi2/48 4 - 0 0 14 14 0x7A 63 19 0x0 Gi2/48 5 - 0 0 14 14 0x7A 63 19 0x0 Gi2/48 6 - 0 0 14 14 0x7A 63 19 0x0 Gi2/48 7 - 0 0 14 14 0x7A 43 15 0x0 Gi2/47 0 Disabled 0 0 14 14 0x7A 63 19 0x9B509B429 Gi2/47 1 - 0 0 14 14 0x200000398 63 19 0x9A8A50429 Gi2/47 2 - 0 0 14 14 0x200000398 63 19 0x9A8A50429 Gi2/47 3 - 0 0 14 14 0x200000398 63 19 0x9A8A50429 Gi2/47 4 - 0 0 14 14 0x200000398 63 19 0x9A8A50429 Gi2/47 5 - 0 0 14 14 0x200000398 63 19 0x9A8A50429 Gi2/47 6 - 0 0 14 14 0x200000398 63 19 0x9A8A50429 Gi2/47 7 - 0 0 14 14 0x362B77FEA 43 15 0x9A93173D5 Phyport TxQ Head Tail Pre Empty Num BaseAddr Size Shape-Ok Empty Packets TxQ Subport ------------------------------------------------------------------------------- Gi1/3 0 0x0005 0x0005 True 0 0x255A0 16 False False Gi1/3 1 0x0000 0x0000 True 0 0x00000 0 False False Gi1/3 2 0x0000 0x0000 True 0 0x00000 0 False False Gi1/3 3 0x0000 0x0000 True 0 0x00000 0 False False Gi1/3 4 0x0000 0x0000 True 0 0x00000 0 False False Gi1/3 5 0x0000 0x0000 True 0 0x00000 0 False False Gi1/3 6 0x0000 0x0000 True 0 0x00000 0 False False Gi1/3 7 0x1E17 0x1E17 True 0 0x255B0 8184 False False Gi1/4 0 0x0000 0x0000 True 0 0x28FA0 16 False False Gi1/4 1 0x0000 0x0000 True 0 0x00000 0 False False Gi1/4 2 0x0000 0x0000 True 0 0x00000 0 False False Gi1/4 3 0x0000 0x0000 True 0 0x00000 0 False False Gi1/4 4 0x0000 0x0000 True 0 0x00000 0 False False Gi1/4 5 0x0000 0x0000 True 0 0x00000 0 False False Gi1/4 6 0x0000 0x0000 True 0 0x00000 0 False False Gi1/4 7 0x17AD 0x17AD True 0 0x28FB0 8184 False False Gi1/5 0 0x0000 0x0000 True 0 0x2C9A0 16 True True Gi1/5 1 0x0000 0x0000 True 0 0x00000 0 True True Gi1/5 2 0x0000 0x0000 True 0 0x00000 0 True True Gi1/5 3 0x0000 0x0000 True 0 0x00000 0 True True Gi1/5 4 0x0000 0x0000 True 0 0x00000 0 True True Gi1/5 5 0x0000 0x0000 True 0 0x00000 0 True True Gi1/5 6 0x0000 0x0000 True 0 0x00000 0 True True Gi1/5 7 0x0000 0x0000 True 0 0x2C9B0 8184 True True Gi1/6 0 0x0000 0x0000 True 0 0x303A0 16 True True Gi1/6 1 0x0000 0x0000 True 0 0x00000 0 True True Gi1/6 2 0x0000 0x0000 True 0 0x00000 0 True True Gi1/6 3 0x0000 0x0000 True 0 0x00000 0 True True Gi1/6 4 0x0000 0x0000 True 0 0x00000 0 True True Gi1/6 5 0x0000 0x0000 True 0 0x00000 0 True True Gi1/6 6 0x0000 0x0000 True 0 0x00000 0 True True Gi1/6 7 0x0000 0x0000 True 0 0x303B0 8184 True True Gi2/2 0 0x0004 0x0004 True 0 0x3F698 16 True True Gi2/2 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/2 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/2 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/2 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/2 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/2 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/2 7 0x0486 0x0486 True 0 0x3F6A8 2760 True True Gi2/1 0 0x0000 0x0000 True 0 0x3EBC0 16 True True Gi2/1 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/1 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/1 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/1 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/1 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/1 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/1 7 0x0000 0x0000 True 0 0x3EBD0 2760 True True Gi2/4 0 0x0004 0x0004 True 0 0x40C48 16 True True Gi2/4 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/4 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/4 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/4 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/4 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/4 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/4 7 0x0476 0x0476 True 0 0x40C58 2760 True True Gi2/3 0 0x0003 0x0003 True 0 0x40170 16 True True Gi2/3 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/3 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/3 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/3 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/3 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/3 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/3 7 0x08D5 0x08D5 True 0 0x40180 2760 True True Phyport TxQ Head Tail Pre Empty Num BaseAddr Size Shape-Ok Empty Packets TxQ Subport ------------------------------------------------------------------------------- Gi2/6 0 0x0004 0x0004 True 0 0x421F8 16 True True Gi2/6 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/6 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/6 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/6 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/6 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/6 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/6 7 0x0812 0x0812 True 0 0x42208 2760 True True Gi2/5 0 0x0003 0x0003 True 0 0x41720 16 True True Gi2/5 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/5 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/5 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/5 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/5 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/5 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/5 7 0x08C1 0x08C1 True 0 0x41730 2760 True True Gi2/8 0 0x0000 0x0000 True 0 0x437D8 16 True True Gi2/8 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/8 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/8 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/8 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/8 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/8 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/8 7 0x0000 0x0000 True 0 0x437E8 2760 True True Gi2/7 0 0x0001 0x0001 True 0 0x42D00 16 True True Gi2/7 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/7 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/7 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/7 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/7 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/7 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/7 7 0x0390 0x0390 True 0 0x42D10 2760 True True Gi2/10 0 0x0001 0x0001 True 0 0x44D88 16 False False Gi2/10 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/10 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/10 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/10 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/10 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/10 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/10 7 0x0595 0x0595 True 0 0x44D98 2760 False False Gi2/9 0 0x0000 0x0000 True 0 0x442B0 16 False False Gi2/9 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/9 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/9 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/9 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/9 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/9 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/9 7 0x0000 0x0000 True 0 0x442C0 2760 False False Gi2/12 0 0x0003 0x0003 True 0 0x46338 16 False False Gi2/12 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/12 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/12 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/12 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/12 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/12 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/12 7 0x07D1 0x07D1 True 0 0x46348 2760 False False Gi2/11 0 0x0004 0x0004 True 0 0x45860 16 False False Gi2/11 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/11 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/11 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/11 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/11 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/11 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/11 7 0x049B 0x049B True 0 0x45870 2760 False False Phyport TxQ Head Tail Pre Empty Num BaseAddr Size Shape-Ok Empty Packets TxQ Subport ------------------------------------------------------------------------------- Gi2/14 0 0x0004 0x0004 True 0 0x47918 16 True True Gi2/14 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/14 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/14 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/14 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/14 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/14 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/14 7 0x0920 0x0920 True 0 0x47928 2760 True True Gi2/13 0 0x0000 0x0000 True 0 0x46E40 16 True True Gi2/13 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/13 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/13 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/13 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/13 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/13 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/13 7 0x0000 0x0000 True 0 0x46E50 2760 True True Gi2/16 0 0x0003 0x0003 True 0 0x48EC8 16 True True Gi2/16 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/16 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/16 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/16 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/16 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/16 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/16 7 0x0474 0x0474 True 0 0x48ED8 2760 True True Gi2/15 0 0x0003 0x0003 True 0 0x483F0 16 True True Gi2/15 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/15 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/15 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/15 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/15 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/15 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/15 7 0x08D9 0x08D9 True 0 0x48400 2760 True True Gi2/18 0 0x0005 0x0005 True 0 0x4A478 16 True True Gi2/18 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/18 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/18 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/18 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/18 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/18 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/18 7 0x046B 0x046B True 0 0x4A488 2760 True True Gi2/17 0 0x0003 0x0003 True 0 0x499A0 16 True True Gi2/17 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/17 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/17 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/17 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/17 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/17 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/17 7 0x0777 0x0777 True 0 0x499B0 2760 True True Gi2/20 0 0x0000 0x0000 True 0 0x4BA58 16 True True Gi2/20 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/20 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/20 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/20 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/20 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/20 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/20 7 0x0000 0x0000 True 0 0x4BA68 2760 True True Gi2/19 0 0x0004 0x0004 True 0 0x4AF80 16 True True Gi2/19 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/19 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/19 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/19 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/19 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/19 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/19 7 0x046D 0x046D True 0 0x4AF90 2760 True True Phyport TxQ Head Tail Pre Empty Num BaseAddr Size Shape-Ok Empty Packets TxQ Subport ------------------------------------------------------------------------------- Gi2/22 0 0x0004 0x0004 True 0 0x4D008 16 True True Gi2/22 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/22 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/22 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/22 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/22 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/22 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/22 7 0x0468 0x0468 True 0 0x4D018 2760 True True Gi2/21 0 0x0000 0x0000 True 0 0x4C530 16 True True Gi2/21 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/21 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/21 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/21 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/21 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/21 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/21 7 0x0000 0x0000 True 0 0x4C540 2760 True True Gi2/24 0 0x0003 0x0003 True 0 0x4E5B8 16 True True Gi2/24 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/24 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/24 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/24 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/24 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/24 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/24 7 0x0003 0x0003 True 0 0x4E5C8 2760 True True Gi2/23 0 0x0000 0x0000 True 0 0x4DAE0 16 True True Gi2/23 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/23 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/23 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/23 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/23 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/23 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/23 7 0x0000 0x0000 True 0 0x4DAF0 2760 True True Gi2/26 0 0x0000 0x0000 True 0 0x4FB98 16 False False Gi2/26 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/26 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/26 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/26 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/26 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/26 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/26 7 0x0000 0x0000 True 0 0x4FBA8 2760 False False Gi2/25 0 0x0001 0x0001 True 0 0x4F0C0 16 False False Gi2/25 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/25 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/25 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/25 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/25 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/25 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/25 7 0x02D6 0x02D6 True 0 0x4F0D0 2760 False False Gi2/28 0 0x0004 0x0004 True 0 0x51148 16 False False Gi2/28 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/28 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/28 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/28 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/28 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/28 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/28 7 0x0654 0x0654 True 0 0x51158 2760 False False Gi2/27 0 0x0003 0x0003 True 0 0x50670 16 False False Gi2/27 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/27 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/27 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/27 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/27 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/27 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/27 7 0x029C 0x029C True 0 0x50680 2760 False False Phyport TxQ Head Tail Pre Empty Num BaseAddr Size Shape-Ok Empty Packets TxQ Subport ------------------------------------------------------------------------------- Gi2/30 0 0x000C 0x000C True 0 0x526F8 16 True True Gi2/30 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/30 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/30 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/30 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/30 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/30 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/30 7 0x0750 0x0750 True 0 0x52708 2760 True True Gi2/29 0 0x0003 0x0003 True 0 0x51C20 16 True True Gi2/29 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/29 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/29 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/29 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/29 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/29 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/29 7 0x08EA 0x08EA True 0 0x51C30 2760 True True Gi2/32 0 0x000C 0x000C True 0 0x53CD8 16 True True Gi2/32 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/32 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/32 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/32 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/32 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/32 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/32 7 0x043B 0x043B True 0 0x53CE8 2760 True True Gi2/31 0 0x000C 0x000C True 0 0x53200 16 True True Gi2/31 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/31 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/31 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/31 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/31 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/31 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/31 7 0x0985 0x0985 True 0 0x53210 2760 True True Gi2/34 0 0x000C 0x000C True 0 0x55288 16 True True Gi2/34 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/34 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/34 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/34 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/34 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/34 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/34 7 0x0A2A 0x0A2A True 0 0x55298 2760 True True Gi2/33 0 0x0000 0x0000 True 0 0x547B0 16 True True Gi2/33 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/33 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/33 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/33 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/33 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/33 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/33 7 0x0000 0x0000 True 0 0x547C0 2760 True True Gi2/36 0 0x000C 0x000C True 0 0x56838 16 True True Gi2/36 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/36 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/36 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/36 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/36 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/36 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/36 7 0x0A31 0x0A31 True 0 0x56848 2760 True True Gi2/35 0 0x000C 0x000C True 0 0x55D60 16 True True Gi2/35 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/35 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/35 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/35 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/35 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/35 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/35 7 0x06FE 0x06FE True 0 0x55D70 2760 True True Phyport TxQ Head Tail Pre Empty Num BaseAddr Size Shape-Ok Empty Packets TxQ Subport ------------------------------------------------------------------------------- Gi2/38 0 0x000C 0x000C True 0 0x57E18 16 True True Gi2/38 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/38 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/38 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/38 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/38 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/38 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/38 7 0x067C 0x067C True 0 0x57E28 2760 True True Gi2/37 0 0x0003 0x0003 True 0 0x57340 16 True True Gi2/37 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/37 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/37 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/37 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/37 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/37 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/37 7 0x0906 0x0906 True 0 0x57350 2760 True True Gi2/40 0 0x0003 0x0003 True 0 0x593C8 16 True True Gi2/40 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/40 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/40 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/40 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/40 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/40 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/40 7 0x08D8 0x08D8 True 0 0x593D8 2760 True True Gi2/39 0 0x0003 0x0003 True 0 0x588F0 16 True True Gi2/39 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/39 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/39 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/39 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/39 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/39 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/39 7 0x0902 0x0902 True 0 0x58900 2760 True True Gi2/42 0 0x0003 0x0003 True 0 0x5A978 16 False False Gi2/42 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/42 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/42 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/42 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/42 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/42 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/42 7 0x08FF 0x08FF True 0 0x5A988 2760 False False Gi2/41 0 0x0000 0x0000 True 0 0x59EA0 16 False False Gi2/41 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/41 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/41 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/41 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/41 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/41 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/41 7 0x0000 0x0000 True 0 0x59EB0 2760 False False Gi2/44 0 0x0003 0x0003 True 0 0x5BF58 16 False False Gi2/44 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/44 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/44 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/44 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/44 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/44 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/44 7 0x08DD 0x08DD True 0 0x5BF68 2760 False False Gi2/43 0 0x0004 0x0004 True 0 0x5B480 16 False False Gi2/43 1 0x0000 0x0000 True 0 0x00000 0 False False Gi2/43 2 0x0000 0x0000 True 0 0x00000 0 False False Gi2/43 3 0x0000 0x0000 True 0 0x00000 0 False False Gi2/43 4 0x0000 0x0000 True 0 0x00000 0 False False Gi2/43 5 0x0000 0x0000 True 0 0x00000 0 False False Gi2/43 6 0x0000 0x0000 True 0 0x00000 0 False False Gi2/43 7 0x0904 0x0904 True 0 0x5B490 2760 False False Phyport TxQ Head Tail Pre Empty Num BaseAddr Size Shape-Ok Empty Packets TxQ Subport ------------------------------------------------------------------------------- Gi2/46 0 0x0003 0x0003 True 0 0x5D508 16 True True Gi2/46 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/46 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/46 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/46 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/46 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/46 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/46 7 0x0042 0x0042 True 0 0x5D518 2760 True True Gi2/45 0 0x0003 0x0003 True 0 0x5CA30 16 True True Gi2/45 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/45 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/45 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/45 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/45 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/45 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/45 7 0x00A9 0x00A9 True 0 0x5CA40 2760 True True Gi2/48 0 0x0000 0x0000 True 0 0x5EAB8 16 True True Gi2/48 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/48 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/48 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/48 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/48 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/48 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/48 7 0x0000 0x0000 True 0 0x5EAC8 2760 True True Gi2/47 0 0x0003 0x0003 True 0 0x5DFE0 16 True True Gi2/47 1 0x0000 0x0000 True 0 0x00000 0 True True Gi2/47 2 0x0000 0x0000 True 0 0x00000 0 True True Gi2/47 3 0x0000 0x0000 True 0 0x00000 0 True True Gi2/47 4 0x0000 0x0000 True 0 0x00000 0 True True Gi2/47 5 0x0000 0x0000 True 0 0x00000 0 True True Gi2/47 6 0x0000 0x0000 True 0 0x00000 0 True True Gi2/47 7 0x0386 0x0386 True 0 0x5DFF0 2760 True True Hardware Phyport Control Table State For AllInterfaces ------------------------------------------------------- Switch Phyport 0 PhyportControlTable Hardware State UntagNativeVlan : False TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 0 AggportId : 0 TosTrusted : False IpTos : 0 CosTrusted : False Cos : 0 CtrlPacketOverride : False Switch Phyport 4 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 0 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport 5 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 1 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport 6 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 2 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport 7 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 3 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport 8 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 4 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport 9 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 5 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport 10 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 6 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport 11 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 7 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi1/3 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 175 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi1/4 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 175 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi1/5 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 172 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi1/6 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 173 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/2 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 9 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/1 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 8 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/4 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 11 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/3 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 10 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/6 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 126 AggportId : 13 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/5 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 12 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/8 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 15 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/7 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 214 AggportId : 14 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/10 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 214 AggportId : 17 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/9 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 16 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/12 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 19 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/11 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 18 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/14 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 214 AggportId : 21 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/13 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 20 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/16 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 23 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/15 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2923 AggportId : 22 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/18 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 25 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/17 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 24 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/20 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 27 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/19 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 26 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/22 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 29 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/21 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 28 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/24 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 31 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/23 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 30 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/26 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 33 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/25 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 214 AggportId : 32 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/28 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 214 AggportId : 35 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/27 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 34 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/30 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 37 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/29 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 36 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/32 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 39 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/31 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 38 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/34 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 41 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/33 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 40 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/36 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 43 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/35 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 42 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/38 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 45 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/37 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2923 AggportId : 44 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/40 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2923 AggportId : 47 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/39 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2923 AggportId : 46 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/42 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2923 AggportId : 49 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/41 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 48 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/44 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 51 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/43 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 50 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/46 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2906 AggportId : 53 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/45 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2906 AggportId : 52 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/48 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 55 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport Gi2/47 PhyportControlTable Hardware State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2906 AggportId : 54 TosTrusted : True IpTos : 0 CosTrusted : True Cos : 0 CtrlPacketOverride : False Switch Phyport 658 PhyportControlTable Hardware State UntagNativeVlan : False TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 0 AggportId : 0 TosTrusted : False IpTos : 0 CosTrusted : False Cos : 0 CtrlPacketOverride : False Non-Zero Phyport Hardware Statistics for all interfaces ------------------------------------------------------- Switch Phyport 4 Non-Zero Hardware Statistics TxBytesTxQ1 : 558194 Switch Phyport 5 Non-Zero Hardware Statistics TxBytesTxQ1 : 9920325 Switch Phyport 6 Non-Zero Hardware Statistics TxBytesTxQ0 : 126528 Switch Phyport 8 Non-Zero Hardware Statistics TxBytesTxQ7 : 204 Switch Phyport 10 Non-Zero Hardware Statistics TxBytesTxQ7 : 981593 Switch Phyport Gi1/3 Non-Zero Hardware Statistics TxBytesTxQ0 : 313622 TxBytesTxQ7 : 7817932696 RxIpv4HeaderGoodPackets : 216939 RxDot1qTaggedPackets : 301136 TxDot1qTaggedPackets : 6037024 Cos RxBytes TxBytes RxPackets TxPackets ------------------------------------------------------ 0 57464696 7815449638 218979 6028321 1 9513462 0 74390 0 2 94588 0 1391 0 3 29890 658056 385 8703 6 828519 0 5991 0 Switch Phyport Gi1/4 Non-Zero Hardware Statistics TxBytesTxQ0 : 311014 TxBytesTxQ7 : 17748697179 RxIpv4HeaderGoodPackets : 10337081 RxIpv6HeaderGoodPackets : 11795 RxDot1qTaggedPackets : 11671150 TxDot1qTaggedPackets : 13566973 Cos RxBytes TxBytes RxPackets TxPackets ------------------------------------------------------ 0 870028741 17748119926 10321721 13559201 1 6056729 0 47882 0 2 18564 0 273 0 3 26260 577253 355 7783 6 8680174 0 122896 0 7 84817688 0 1178027 0 Switch Phyport Gi2/2 Non-Zero Hardware Statistics TxBytesTxQ0 : 138366 TxBytesTxQ7 : 140752 RxIpv4HeaderGoodPackets : 1507 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1521 TxDot1qTaggedPackets : 1143 Switch Phyport Gi2/4 Non-Zero Hardware Statistics TxBytesTxQ0 : 138366 TxBytesTxQ7 : 120661 RxIpv4HeaderGoodPackets : 1490 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1504 TxDot1qTaggedPackets : 1127 Switch Phyport Gi2/3 Non-Zero Hardware Statistics TxBytesTxQ0 : 137918 TxBytesTxQ7 : 2406426 RxIpv4HeaderGoodPackets : 83 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/6 Non-Zero Hardware Statistics TxBytesTxQ0 : 138366 TxBytesTxQ7 : 3423749 RxIpv4HeaderGoodPackets : 5530 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1523 TxDot1qTaggedPackets : 1161 Switch Phyport Gi2/5 Non-Zero Hardware Statistics TxBytesTxQ0 : 137918 TxBytesTxQ7 : 2396694 RxIpv4HeaderGoodPackets : 70 RxIpv6HeaderGoodPackets : 16 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/7 Non-Zero Hardware Statistics TxBytesTxQ0 : 137032 TxBytesTxQ7 : 8961558 RxIpv4HeaderGoodPackets : 22582 RxIpv6HeaderGoodPackets : 650 Switch Phyport Gi2/10 Non-Zero Hardware Statistics TxBytesTxQ0 : 137321 TxBytesTxQ7 : 6366560 RxIpv4HeaderGoodPackets : 15633 RxIpv6HeaderGoodPackets : 597 Switch Phyport Gi2/12 Non-Zero Hardware Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 209541 RxIpv4HeaderGoodPackets : 1782 RxDot1qTaggedPackets : 1800 TxDot1qTaggedPackets : 2001 Switch Phyport Gi2/11 Non-Zero Hardware Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 142183 RxIpv4HeaderGoodPackets : 1532 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1546 TxDot1qTaggedPackets : 1164 Switch Phyport Gi2/14 Non-Zero Hardware Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 6828740 RxIpv4HeaderGoodPackets : 15966 RxIpv6HeaderGoodPackets : 472 RxDot1qTaggedPackets : 1535 TxDot1qTaggedPackets : 1156 Switch Phyport Gi2/16 Non-Zero Hardware Statistics TxBytesTxQ0 : 138214 TxBytesTxQ7 : 119385 RxIpv4HeaderGoodPackets : 1488 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1502 TxDot1qTaggedPackets : 1125 Switch Phyport Gi2/15 Non-Zero Hardware Statistics TxBytesTxQ0 : 136172 TxBytesTxQ7 : 4689760 RxIpv4HeaderGoodPackets : 2550 Switch Phyport Gi2/18 Non-Zero Hardware Statistics TxBytesTxQ0 : 139107 TxBytesTxQ7 : 126346 RxIpv4HeaderGoodPackets : 1481 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1495 TxDot1qTaggedPackets : 1116 Switch Phyport Gi2/17 Non-Zero Hardware Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 2372981 RxIpv4HeaderGoodPackets : 375 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/19 Non-Zero Hardware Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 128438 RxIpv4HeaderGoodPackets : 1484 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1498 TxDot1qTaggedPackets : 1118 Switch Phyport Gi2/22 Non-Zero Hardware Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 126072 RxIpv4HeaderGoodPackets : 1477 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1491 TxDot1qTaggedPackets : 1113 Switch Phyport Gi2/24 Non-Zero Hardware Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 192 Switch Phyport Gi2/25 Non-Zero Hardware Statistics TxBytesTxQ0 : 137321 TxBytesTxQ7 : 219930915 RxIpv4HeaderGoodPackets : 734022 RxIpv6HeaderGoodPackets : 659 Switch Phyport Gi2/28 Non-Zero Hardware Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 14829495 RxIpv4HeaderGoodPackets : 54885 RxIpv6HeaderGoodPackets : 485 RxDot1qTaggedPackets : 1526 TxDot1qTaggedPackets : 1151 Switch Phyport Gi2/27 Non-Zero Hardware Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 21889815 RxIpv4HeaderGoodPackets : 491870 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/30 Non-Zero Hardware Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 77784417 RxIpv4HeaderGoodPackets : 2211696 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/29 Non-Zero Hardware Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 2423552 RxIpv4HeaderGoodPackets : 10 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/32 Non-Zero Hardware Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 77912856 RxIpv4HeaderGoodPackets : 2260831 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/31 Non-Zero Hardware Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 81176550 RxIpv4HeaderGoodPackets : 2262308 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/34 Non-Zero Hardware Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 58557858 RxIpv4HeaderGoodPackets : 1551190 RxIpv6HeaderGoodPackets : 160 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/36 Non-Zero Hardware Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 128702156 RxIpv4HeaderGoodPackets : 3862572 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/35 Non-Zero Hardware Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 57445617 RxIpv4HeaderGoodPackets : 1516743 RxIpv6HeaderGoodPackets : 161 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/38 Non-Zero Hardware Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 153547460 RxIpv4HeaderGoodPackets : 4521225 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/37 Non-Zero Hardware Statistics TxBytesTxQ0 : 136172 TxBytesTxQ7 : 4695123 RxIpv4HeaderGoodPackets : 2594 Switch Phyport Gi2/40 Non-Zero Hardware Statistics TxBytesTxQ0 : 136172 TxBytesTxQ7 : 4689542 RxIpv4HeaderGoodPackets : 2549 Switch Phyport Gi2/39 Non-Zero Hardware Statistics TxBytesTxQ0 : 136172 TxBytesTxQ7 : 4694807 RxIpv4HeaderGoodPackets : 2589 Switch Phyport Gi2/42 Non-Zero Hardware Statistics TxBytesTxQ0 : 136172 TxBytesTxQ7 : 4693850 RxIpv4HeaderGoodPackets : 2588 Switch Phyport Gi2/44 Non-Zero Hardware Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 2408986 RxIpv4HeaderGoodPackets : 85 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/43 Non-Zero Hardware Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 2433572 RxIpv4HeaderGoodPackets : 2485 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1540 TxDot1qTaggedPackets : 1177 Switch Phyport Gi2/46 Non-Zero Hardware Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 1335481 RxIpv4HeaderGoodPackets : 9274 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/45 Non-Zero Hardware Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 1342083 RxIpv4HeaderGoodPackets : 9347 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/47 Non-Zero Hardware Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 2450883 RxIpv4HeaderGoodPackets : 26584 TxDot1qTaggedPackets : 239 Hardware Storm control State for all interfaces ----------------------------------------------- Switch Phyport Gi1/3 BcastSuppressionEnabled : Disabled McastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 134217727 Switch Phyport Gi1/4 BcastSuppressionEnabled : Disabled McastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 134217727 Switch Phyport Gi1/5 BcastSuppressionEnabled : Disabled McastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 134217727 Switch Phyport Gi1/6 BcastSuppressionEnabled : Disabled McastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 134217727 Hardware State for all Aggports ------------------------------- Aggport 8 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/1(21) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 9 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/2(20) ExplicitCongNotification : Disabled STP State (vlan 1) : Learning Aggport 10 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/3(23) ExplicitCongNotification : Disabled STP State (vlan 11) : Forwarding Aggport 11 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/4(22) ExplicitCongNotification : Disabled STP State (vlan 1) : Learning Aggport 12 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/5(25) ExplicitCongNotification : Disabled STP State (vlan 11) : Forwarding Aggport 13 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/6(24) ExplicitCongNotification : Disabled STP State (vlan 126) : Forwarding Aggport 14 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/7(27) ExplicitCongNotification : Disabled STP State (vlan 214) : Forwarding Aggport 15 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/8(26) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 16 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/9(29) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 17 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/10(28) ExplicitCongNotification : Disabled STP State (vlan 214) : Forwarding Aggport 18 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/11(31) ExplicitCongNotification : Disabled STP State (vlan 1) : Learning Aggport 19 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/12(30) ExplicitCongNotification : Disabled STP State (vlan 1) : Forwarding Aggport 20 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/13(33) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 21 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/14(32) ExplicitCongNotification : Disabled STP State (vlan 214) : Forwarding Aggport 22 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/15(35) ExplicitCongNotification : Disabled STP State (vlan 2923) : Forwarding Aggport 23 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/16(34) ExplicitCongNotification : Disabled STP State (vlan 1) : Learning Aggport 24 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/17(37) ExplicitCongNotification : Disabled STP State (vlan 11) : Forwarding Aggport 25 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/18(36) ExplicitCongNotification : Disabled STP State (vlan 1) : Learning Aggport 26 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/19(39) ExplicitCongNotification : Disabled STP State (vlan 1) : Learning Aggport 27 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/20(38) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 28 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/21(41) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 29 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/22(40) ExplicitCongNotification : Disabled STP State (vlan 1) : Learning Aggport 30 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/23(43) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 31 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/24(42) ExplicitCongNotification : Disabled STP State (vlan 1) : Learning Aggport 32 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/25(45) ExplicitCongNotification : Disabled STP State (vlan 214) : Forwarding Aggport 33 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/26(44) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 34 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/27(47) ExplicitCongNotification : Disabled STP State (vlan 2907) : Forwarding Aggport 35 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/28(46) ExplicitCongNotification : Disabled STP State (vlan 214) : Forwarding Aggport 36 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/29(49) ExplicitCongNotification : Disabled STP State (vlan 11) : Forwarding Aggport 37 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/30(48) ExplicitCongNotification : Disabled STP State (vlan 2907) : Forwarding Aggport 38 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/31(51) ExplicitCongNotification : Disabled STP State (vlan 2907) : Forwarding Aggport 39 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/32(50) ExplicitCongNotification : Disabled STP State (vlan 2907) : Forwarding Aggport 40 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/33(53) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 41 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/34(52) ExplicitCongNotification : Disabled STP State (vlan 2907) : Forwarding Aggport 42 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/35(55) ExplicitCongNotification : Disabled STP State (vlan 2907) : Forwarding Aggport 43 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/36(54) ExplicitCongNotification : Disabled STP State (vlan 2907) : Forwarding Aggport 44 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/37(57) ExplicitCongNotification : Disabled STP State (vlan 2923) : Forwarding Aggport 45 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/38(56) ExplicitCongNotification : Disabled STP State (vlan 2907) : Forwarding Aggport 46 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/39(59) ExplicitCongNotification : Disabled STP State (vlan 2923) : Forwarding Aggport 47 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/40(58) ExplicitCongNotification : Disabled STP State (vlan 2923) : Forwarding Aggport 48 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/41(61) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 49 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/42(60) ExplicitCongNotification : Disabled STP State (vlan 2923) : Forwarding Aggport 50 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/43(63) ExplicitCongNotification : Disabled STP State (vlan 11) : Forwarding Aggport 51 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/44(62) ExplicitCongNotification : Disabled STP State (vlan 11) : Forwarding Aggport 52 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/45(65) ExplicitCongNotification : Disabled STP State (vlan 2906) : Forwarding Aggport 53 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/46(64) ExplicitCongNotification : Disabled STP State (vlan 2906) : Forwarding Aggport 54 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/47(67) ExplicitCongNotification : Disabled STP State (vlan 2906) : Forwarding Aggport 55 Hardware State Phyports : 1 Member PhyportId(s) : Gi2/48(66) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 172 Hardware State Phyports : 1 Member PhyportId(s) : Gi1/5(16) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 173 Hardware State Phyports : 1 Member PhyportId(s) : Gi1/6(18) ExplicitCongNotification : Disabled STP State (vlan 4095) : Blocked Aggport 175 Hardware State EtherchannelIndex : 0 Phyports : 2 Member PhyportId(s) : Gi1/3(12) Gi1/4(14) ExplicitCongNotification : Disabled STP State (vlan 1) : Forwarding Hardware Superport State for all interfaces ------------------------------------------ PreEmphasis Settings: SuperportId : 16 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 0 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport16(Gi2/1-6) Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Disabled Link Status (LCR) : True RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x010A0000 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Disabled Paused : False Tx-Queue Dequeue : Enabled SCH : Enabled FCH : Disabled Ignore Subport Busy : False SchBusyReset : 0xFC2 IgnoreLossOfSignal : True Rx Minimum IPG : 3 Tx IPG : 10 Superport16(Gi2/1-6) Non-Zero Software Statistics RxPackets64 : 871 TxPackets64 : 73847 RxPackets65to127 : 6073 TxPackets65to127 : 15125 RxPackets128to255 : 1756 TxPackets128to255 : 6552 RxPackets256to511 : 556 TxPackets256to511 : 2274 RxPackets512to1023 : 73 TxPackets512to1023 : 521 RxPackets1024to1518 : 343 TxPackets1024to1518 : 317 RxPackets1519to1548 : 4 RxMcastPackets : 920 TxMcastPackets : 4331 RxUcastPackets : 8391 TxUcastPackets : 8585 RxBcastPackets : 365 TxBcastPackets : 85720 RxGoodBytes : 1580801 TxBytes : 9178566 PreEmphasis Settings: SuperportId : 17 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 1 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport17(Gi2/7-12) Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Disabled Link Status (LCR) : True RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x010A0000 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Disabled Paused : False Tx-Queue Dequeue : Enabled SCH : Enabled FCH : Disabled Ignore Subport Busy : False SchBusyReset : 0xFC9 IgnoreLossOfSignal : True Rx Minimum IPG : 3 Tx IPG : 10 Superport17(Gi2/7-12) Non-Zero Software Statistics RxPackets64 : 16647 TxPackets64 : 5995 RxPackets65to127 : 10819 TxPackets65to127 : 12567 RxPackets128to255 : 8219 TxPackets128to255 : 16961 RxPackets256to511 : 5487 TxPackets256to511 : 8101 RxPackets512to1023 : 1627 TxPackets512to1023 : 1976 RxPackets1024to1518 : 1474 TxPackets1024to1518 : 5242 RxPackets1519to1548 : 2 RxMcastPackets : 2834 TxMcastPackets : 6586 RxUcastPackets : 39798 TxUcastPackets : 37334 RxBcastPackets : 1643 TxBcastPackets : 6922 RxGoodBytes : 8279497 TxBytes : 16231062 PreEmphasis Settings: SuperportId : 18 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 2 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport18(Gi2/13-18) Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Disabled Link Status (LCR) : True RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x010A0000 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Disabled Paused : False Tx-Queue Dequeue : Enabled SCH : Enabled FCH : Disabled Ignore Subport Busy : False SchBusyReset : 0xFC2 IgnoreLossOfSignal : True Rx Minimum IPG : 3 Tx IPG : 10 Superport18(Gi2/13-18) Non-Zero Software Statistics RxPackets64 : 7869 TxPackets64 : 49297 RxPackets65to127 : 10285 TxPackets65to127 : 37979 RxPackets128to255 : 4343 TxPackets128to255 : 6168 RxPackets256to511 : 1977 TxPackets256to511 : 3815 RxPackets512to1023 : 894 TxPackets512to1023 : 1135 RxPackets1024to1518 : 911 TxPackets1024to1518 : 2915 RxPackets1519to1548 : 4 RxMcastPackets : 2407 TxMcastPackets : 5077 RxUcastPackets : 22422 TxUcastPackets : 41848 RxBcastPackets : 1454 TxBcastPackets : 54384 RxGoodBytes : 4736214 TxBytes : 14826636 PreEmphasis Settings: SuperportId : 19 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 3 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport19(Gi2/19-24) Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Disabled Link Status (LCR) : True RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x010A0000 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Disabled Paused : False Tx-Queue Dequeue : Enabled SCH : Enabled FCH : Disabled Ignore Subport Busy : False SchBusyReset : 0xFE9 IgnoreLossOfSignal : True Rx Minimum IPG : 3 Tx IPG : 10 Superport19(Gi2/19-24) Non-Zero Software Statistics TxPackets64 : 33 RxPackets65to127 : 2959 TxPackets65to127 : 2019 RxPackets128to255 : 569 TxPackets128to255 : 6 RxPackets256to511 : 4 TxPackets256to511 : 941 RxPackets512to1023 : 16 TxPackets512to1023 : 140 RxPackets1519to1548 : 4 RxMcastPackets : 573 TxMcastPackets : 944 RxUcastPackets : 2947 TxUcastPackets : 1852 RxBcastPackets : 32 TxBcastPackets : 343 RxGoodBytes : 318994 TxBytes : 670227 PreEmphasis Settings: SuperportId : 24 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 0 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport24(Gi2/25-30) Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Disabled Link Status (LCR) : True RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x010A0000 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Disabled Paused : False Tx-Queue Dequeue : Enabled SCH : Enabled FCH : Disabled Ignore Subport Busy : False SchBusyReset : 0xFC1 IgnoreLossOfSignal : True Rx Minimum IPG : 3 Tx IPG : 10 Superport24(Gi2/25-30) Non-Zero Software Statistics RxPackets64 : 48821 TxPackets64 : 1465349 RxPackets65to127 : 56034 TxPackets65to127 : 16270 RxPackets128to255 : 491739 TxPackets128to255 : 402911 RxPackets256to511 : 512183 TxPackets256to511 : 311111 RxPackets512to1023 : 233504 TxPackets512to1023 : 31421 RxPackets1024to1518 : 2151702 TxPackets1024to1518 : 27904 RxMcastPackets : 2328 TxMcastPackets : 20891 RxUcastPackets : 3489416 TxUcastPackets : 2130785 RxBcastPackets : 2239 TxBcastPackets : 103290 RxGoodBytes : 3717549760 TxBytes : 337509673 PreEmphasis Settings: SuperportId : 25 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 1 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport25(Gi2/31-36) Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Disabled Link Status (LCR) : True RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x010A0000 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Disabled Paused : False Tx-Queue Dequeue : Enabled SCH : Enabled FCH : Disabled Ignore Subport Busy : False SchBusyReset : 0xFC8 IgnoreLossOfSignal : True Rx Minimum IPG : 3 Tx IPG : 10 Superport25(Gi2/31-36) Non-Zero Software Statistics RxPackets64 : 7551 TxPackets64 : 5987837 RxPackets65to127 : 189279 TxPackets65to127 : 4746 RxPackets128to255 : 178447 TxPackets128to255 : 315 RxPackets256to511 : 712283 TxPackets256to511 : 16855 RxPackets512to1023 : 1027323 TxPackets512to1023 : 15381 RxPackets1024to1518 : 9337793 TxPackets1024to1518 : 172 RxMcastPackets : 916 TxMcastPackets : 36179 RxUcastPackets : 11451725 TxUcastPackets : 5813287 RxBcastPackets : 35 TxBcastPackets : 175840 RxGoodBytes : 15471900060 TxBytes : 404457645 PreEmphasis Settings: SuperportId : 26 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 2 Config PreEmphasis : 8 Operating PreEmphasis :8 Tx Serdes Ctrl Reg : 0x3F18800 (txAmpl : 15) Superport26(Gi2/37-42) Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Disabled Link Status (LCR) : True RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x010A0000 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Disabled Paused : False Tx-Queue Dequeue : Enabled SCH : Enabled FCH : Disabled Ignore Subport Busy : False SchBusyReset : 0xFE0 IgnoreLossOfSignal : True Rx Minimum IPG : 3 Tx IPG : 10 Superport26(Gi2/37-42) Non-Zero Software Statistics RxPackets64 : 7994 TxPackets64 : 2427071 RxPackets65to127 : 4275 TxPackets65to127 : 104137 RxPackets128to255 : 67864 TxPackets128to255 : 1126 RxPackets256to511 : 350591 TxPackets256to511 : 4643 RxPackets512to1023 : 170414 TxPackets512to1023 : 3107 RxPackets1024to1518 : 3941234 TxPackets1024to1518 : 48 RxMcastPackets : 3617 TxMcastPackets : 8580 RxUcastPackets : 4537600 TxUcastPackets : 2404348 RxBcastPackets : 1155 TxBcastPackets : 127204 RxGoodBytes : 6278867099 TxBytes : 172986350 PreEmphasis Settings: SuperportId : 27 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 3 Config PreEmphasis : 7 Operating PreEmphasis :7 Tx Serdes Ctrl Reg : 0x3EF8800 (txAmpl : 15) Superport27(Gi2/43-48) Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Disabled Link Status (LCR) : True RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x010A0000 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Disabled Paused : False Tx-Queue Dequeue : Enabled SCH : Enabled FCH : Disabled Ignore Subport Busy : False SchBusyReset : 0xFD0 IgnoreLossOfSignal : True Rx Minimum IPG : 3 Tx IPG : 10 Superport27(Gi2/43-48) Non-Zero Software Statistics RxPackets64 : 19668 TxPackets64 : 95615 RxPackets65to127 : 28253 TxPackets65to127 : 35779 RxPackets128to255 : 535 TxPackets128to255 : 3239 RxPackets256to511 : 32 TxPackets256to511 : 1887 RxPackets512to1023 : 7 TxPackets512to1023 : 286 RxPackets1024to1518 : 18 TxPackets1024to1518 : 66 RxMcastPackets : 661 TxMcastPackets : 2721 RxUcastPackets : 47012 TxUcastPackets : 72856 RxBcastPackets : 840 TxBcastPackets : 61295 RxGoodBytes : 3454161 TxBytes : 10661322 PreEmphasis Settings: SuperportId : 29 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 1 Config PreEmphasis : 1 Operating PreEmphasis :1 Tx Serdes Ctrl Reg : 0x3E38800 (txAmpl : 15) Superport29(Gi1/3) Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Enabled Link Status (LCR) : True RxConfigWord : 0x4120 (FullDuplex AsymmPause Ack) TxConfigWord : 0x01A0 (FullDuplex PauseCapable AsymmPause) RxConfigWordReg : 0x010A4120 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x000101A0 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Enabled Paused : False Tx-Queue Dequeue : Enabled SCH : Disabled FCH : Enabled Ignore Subport Busy : True SchBusyReset : 0xFFF IgnoreLossOfSignal : True Rx Minimum IPG : 8 Tx IPG : 10 Superport29(Gi1/3) Non-Zero Software Statistics RxPackets64 : 564 TxPackets64 : 566 RxPackets65to127 : 169641 TxPackets65to127 : 145632 RxPackets128to255 : 41375 TxPackets128to255 : 288519 RxPackets256to511 : 76136 TxPackets256to511 : 214677 RxPackets512to1023 : 10236 TxPackets512to1023 : 846051 RxPackets1024to1518 : 3341 TxPackets1024to1518 : 86539 RxPackets1519to1548 : 1831 TxPackets1519to1548 : 4466497 RxMcastPackets : 23886 TxMcastPackets : 4230 RxUcastPackets : 196018 TxUcastPackets : 6040577 RxBcastPackets : 83220 TxBcastPackets : 3674 RxGoodBytes : 68234271 TxBytes : 7817150659 PreEmphasis Settings: SuperportId : 30 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 2 Config PreEmphasis : 1 Operating PreEmphasis :1 Tx Serdes Ctrl Reg : 0x3E38800 (txAmpl : 15) Superport30(Gi1/4) Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Enabled Link Status (LCR) : True RxConfigWord : 0x0120 (FullDuplex AsymmPause) TxConfigWord : 0x01A0 (FullDuplex PauseCapable AsymmPause) RxConfigWordReg : 0x010A0120 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x000101A0 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Enabled Paused : False Tx-Queue Dequeue : Enabled SCH : Disabled FCH : Enabled Ignore Subport Busy : True SchBusyReset : 0xFFF IgnoreLossOfSignal : True Rx Minimum IPG : 8 Tx IPG : 10 Superport30(Gi1/4) Non-Zero Software Statistics RxPackets64 : 8857 TxPackets64 : 563 RxPackets65to127 : 10973275 TxPackets65to127 : 113211 RxPackets128to255 : 405404 TxPackets128to255 : 613404 RxPackets256to511 : 248099 TxPackets256to511 : 1368852 RxPackets512to1023 : 21514 TxPackets512to1023 : 587869 RxPackets1024to1518 : 14457 TxPackets1024to1518 : 101927 RxPackets1519to1548 : 16592 TxPackets1519to1548 : 10781472 RxMcastPackets : 1213138 TxMcastPackets : 4917 RxUcastPackets : 10313405 TxUcastPackets : 13559341 RxBcastPackets : 161655 TxBcastPackets : 3040 RxGoodBytes : 970898518 TxBytes : 17746854051 PreEmphasis Settings: SuperportId : 58 HssCtrl Reg : 0x280 (hssCalDrv : 5) LaneId Config PreEmphasis Oper PreEmphasis TxSerdesCtrl Reg (TxAmpl) 0 4 0 0x2C18800(6) 1 1 0 0x2C18800(6) 2 1 0 0x2C18800(6) 3 1 0 0x2C18800(6) Superport58() Hardware Mac State Superport Mac Link State : Up AutoNegotiation : Disabled Link Status (LCR) : True RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x00000000 ( Sync[sticky] RxIdle/Data NotRxIdle LostSync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : False txInReset : False rxInReset : False RxEnabled : Enabled Pause : Enabled Paused : False Tx-Queue Dequeue : Enabled SCH : Disabled FCH : Enabled Ignore Subport Busy : True SchBusyReset : 0xFFF IgnoreLossOfSignal : True Rx Minimum IPG : 6 Tx IPG : 12 Superport58() Non-Zero Software Statistics TxPackets64 : 11338 RxPackets65to127 : 10220 TxPackets65to127 : 102877 RxPackets128to255 : 4098 TxPackets128to255 : 5087 RxPackets256to511 : 11724 TxPackets256to511 : 5702 RxPackets512to1023 : 470 TxPackets512to1023 : 77 RxPackets1024to1518 : 68 TxPackets1024to1518 : 32 RxPackets1519to1548 : 10 TxPackets1519to1548 : 10 RxMcastPackets : 14869 TxMcastPackets : 112748 RxUcastPackets : 11076 TxUcastPackets : 9252 RxBcastPackets : 645 TxBcastPackets : 3123 RxGoodBytes : 7579730 TxBytes : 11575244 PreEmphasis Settings: SuperportId : 59 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 0 Config PreEmphasis : 1 Operating PreEmphasis :1 Tx Serdes Ctrl Reg : 0x3E38800 (txAmpl : 15) Superport59(Gi1/5) Hardware Mac State Superport Mac Link State : Down AutoNegotiation : Disabled Link Status (LCR) : False RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x00080000 ( Sync[sticky] RxIdle/Data RxIdle LostSync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : True txInReset : True rxInReset : True RxEnabled : Enabled Pause : Enabled Paused : False Tx-Queue Dequeue : Enabled SCH : Disabled FCH : Enabled Ignore Subport Busy : True SchBusyReset : 0xFFF IgnoreLossOfSignal : False Rx Minimum IPG : 8 Tx IPG : 10 Superport59(Gi1/5) Non-Zero Software Statistics PreEmphasis Settings: SuperportId : 60 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 1 Config PreEmphasis : 1 Operating PreEmphasis :1 Tx Serdes Ctrl Reg : 0x3E38800 (txAmpl : 15) Superport60(Gi1/6) Hardware Mac State Superport Mac Link State : Down AutoNegotiation : Disabled Link Status (LCR) : False RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x00080000 ( Sync[sticky] RxIdle/Data RxIdle LostSync10ms Sync10ms[stickyLow] ) TxConfigWordReg : 0x00000000 macInReset : True txInReset : True rxInReset : True RxEnabled : Enabled Pause : Enabled Paused : False Tx-Queue Dequeue : Enabled SCH : Disabled FCH : Enabled Ignore Subport Busy : True SchBusyReset : 0xFFF IgnoreLossOfSignal : False Rx Minimum IPG : 8 Tx IPG : 10 Superport60(Gi1/6) Non-Zero Software Statistics XgstubMan(1:N-0)Port( 0 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 1 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 2 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 3 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 4 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 5 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 6 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 7 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 8 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 9 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 10 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-0)Port( 11 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 0 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 1 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 2 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 3 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 4 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 5 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 6 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 7 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 8 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 9 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 10 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-1)Port( 11 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 0 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 1 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 2 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 3 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 4 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 5 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 6 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 7 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 8 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 9 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 10 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-2)Port( 11 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 0 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 1 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 2 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 3 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 4 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 5 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 6 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 7 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 8 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 9 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 10 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 XgstubMan(1:N-3)Port( 11 ) McastSuppressionEnabled : Disabled BcastSuppressionEnabled : Disabled BcastSuppressionThresholdInBytes : 1250000000 ------------------ show platform hardware interrupts ------------------ IPP masterIntrEn: 20101FF IPP CIM cpuIfIntrEn: 1FF IPP HPM hpmIntrEn: 7F IPP LLC llcIntrEn: 7FFFFFD IPP PFM pfmIntrEn: 7 IPP PRM prmIntrEn: 7F IPP PSM psmIntrEn: FFFFFFFFFFFF IPP TMM tmmIntrEn: 3FF VFE masterIntrEn: FEFF VFE CI ciIntrEn: 3FF VFE FL flmIntrEn: 7F VFE IC inpClassifInterruptEn: 37FF VFE IM inpMappingIntrMask: FE VFE L2 l2IntrMask: 7 VFE Ni niIntrEn: 0 VFE OC outClassifInterruptEn: FFFE VFE OP outputProcessIntrEn: 1F VFE RM rmmInterruptEnables: FF VFE RP policerIntrEns: 7 VFE SU sumIntrEnable: 1FFFFFFFFFFFFF VFE TQ txIntrEn: 3B037F IPP CIM IppCpuIfCimRingErr: 1 IppCpuIfCimCpuRdAbort: 1 FPGA Soft Errors: 0 ------------------ show platform health ------------------ %CPU %CPU RunTimeMax Priority Average %CPU Total Target Actual Target Actual Fg Bg 5Sec Min Hour CPU RkiosObflMan 0.50 0.00 4 0 100 500 0 0 0 0:00 VSI slot-01 1.00 0.09 6 0 100 500 0 0 0 0:06 VSI backplane 1.00 0.00 6 0 100 500 0 0 0 0:00 VSI slot-02 1.00 0.26 6 1 100 500 0 0 0 0:53 GalChassisVp 3.00 0.08 20 10 100 500 0 0 0 0:13 S2w-JobEventSchedule 10.00 0.00 10 0 100 500 0 0 0 0:00 Stub-JobEventSchedul 10.00 0.00 10 0 100 500 0 0 0 0:00 Lj-poll 1.00 0.02 2 0 100 500 0 0 0 0:03 StatValueMan Update 1.00 0.10 1 0 100 500 0 0 0 0:07 Pim-review 0.10 0.00 1 0 100 500 0 0 0 0:00 Ebm-host-review 1.00 0.07 8 1 100 500 0 0 0 0:01 Ebm-host-util-review 1.00 0.00 10 0 100 500 0 0 0 0:00 Ebm-port-review 0.10 0.00 1 0 100 500 0 0 0 0:00 Protocol-aging-revie 0.20 0.00 2 0 100 500 0 0 0 0:00 EbmHostRedundancyMan 2.00 0.00 20 0 100 500 0 0 0 0:00 Acl-Flattener 1.00 0.00 10 2 100 500 0 0 0 0:00 GalChassisVp Ondeman 2.00 0.00 2 0 100 500 0 0 0 0:00 KxAclPathMan create/ 1.00 0.00 10 5 100 500 0 0 0 0:02 KxAclPathMan update 2.00 0.00 10 63 100 500 0 0 0 0:00 KxAclPathMan reprogr 1.00 0.00 2 0 100 500 0 0 0 0:00 GalK5TatooineStatsMa 0.70 0.02 4 0 100 500 0 0 0 0:05 MOL FastDropReview 2.00 0.00 15 0 100 500 0 0 0 0:00 IrmMfibEntryMan Revi 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3WCCP Service Gro 2.00 0.00 15 0 100 500 0 0 0 0:00 RkiosL3PortMan AclFe 2.00 0.00 15 5 100 500 0 0 0 0:00 GalK5SupervisorVpFpg 2.00 0.00 0 0 100 500 0 0 0 0:00 GalK5SupervisorVpFpg 2.00 0.00 10 0 100 500 0 0 0 0:00 GalK5SupervisorVpFpg 2.00 0.00 10 0 100 500 0 0 0 0:00 K5L3FlcMan FwdEntry 2.00 0.00 15 0 100 500 0 0 0 0:00 FwdEntry Zombie Revi 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3FlcMan Cam Shuff 4.00 0.00 25 0 100 500 0 0 0 0:00 K5L3Unciast IFE Revi 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3UnicastRpf IFE R 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3Unicast Fwd Entr 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3McastMan IrmMfib 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3McastMan ImeSync 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3Unicast Fwd Entr 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3Unicast Adj Grou 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3Unicast Adj Chan 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3Unicast Adj Tabl 2.00 0.00 15 7 100 500 0 0 0 0:00 K5L3Unicast Adj Grou 2.00 0.00 15 0 100 500 0 0 0 0:00 K5L3AdjStatsMan Revi 2.00 0.06 10 7 100 500 0 0 0 0:54 K5L3McastMan RetSync 1.00 0.00 8 0 100 500 0 0 0 0:00 K5FlcHitMan review 2.00 0.00 5 2 100 500 0 0 0 0:11 K5L3SubinterfaceMan 2.00 0.00 15 1 100 500 0 0 0 0:00 K5PortMan Regular Re 2.00 0.23 15 6 100 500 0 0 0 0:47 K5PortMan Ondemand L 6.00 0.34 30 0 100 500 0 0 0 0:43 K5PortMan Stats Revi 2.00 0.00 15 0 100 500 0 0 0 0:04 K5PortMan Tx Queue R 3.00 0.00 15 0 100 500 0 0 0 0:00 K5L2 Vlan Table Revi 2.00 0.00 12 8 100 500 0 0 0 0:01 K5 L2 Aging Table Re 2.00 0.06 20 4 100 500 0 0 0 0:23 K5 L2 Unicast Addres 2.00 0.00 20 0 100 500 0 0 0 0:00 K5 L2 Unicast Addres 2.00 0.00 20 0 100 500 0 0 0 0:00 K5 L2 Multicast Addr 2.00 0.00 20 1 100 500 0 0 0 0:00 K5 L2 Hardware Addre 2.00 0.00 20 2 100 500 0 0 0 0:01 K5 L2 Hardware Mac L 1.00 0.00 2 0 100 500 0 0 0 0:00 K5RetStatsMan Review 2.00 0.00 5 0 100 500 0 0 0 0:00 K5CpuMan Review 30.00 4.55 30 1 100 500 1 0 0 0:50 K5ForerunnerPacketMa 2.00 2.17 4 0 100 500 0 0 0 0:05 K5ForerunnerPacketMa 2.00 0.19 4 0 100 500 0 0 0 0:31 K5QosDhmMan Rate DBL 2.00 0.00 7 0 100 500 0 0 0 0:00 K5QosPolicerStatsMan 1.00 0.00 10 0 100 500 0 0 0 0:00 K5VlanStatsReview 2.00 1.39 10 5 100 500 1 1 1 4:08 K5VlanStatsTableMan 2.00 0.00 2 0 100 500 0 0 0 0:00 K5VlanStatsTableMan 2.00 0.00 2 0 100 500 0 0 0 0:00 K5AclMan-labeledFlat 1.00 0.00 10 3 100 500 0 0 0 0:00 K5AclLabelMan-punted 1.00 0.00 10 0 100 500 0 0 0 0:00 K5AclCamMan stale en 1.00 0.00 10 0 100 500 0 0 0 0:00 K5AclCamStatsMan hw 3.00 0.60 10 5 100 500 0 0 0 0:35 K5Acl Input Action U 2.00 0.00 15 7 100 500 0 0 0 0:00 K5Acl Output Action 2.00 0.00 15 7 100 500 0 0 0 0:00 RkGenericL3Wccp IrmW 2.00 0.00 10 0 100 500 0 0 0 0:00 RkiosPortMan Port Re 2.00 0.09 12 7 100 500 0 0 0 0:19 Rkios Module State R 4.00 0.01 40 1 100 500 0 0 0 0:04 Rkios Online Diag Re 4.00 0.01 40 0 100 500 0 0 0 0:03 MatMan Review 0.50 0.00 4 0 100 500 0 0 0 0:00 GalDagobahManPowerFa 3.00 0.00 1 0 100 500 0 0 0 0:00 LocalJawaVsiMan VsiR 0.20 0.00 2 0 100 500 0 0 0 0:00 RkiosIpPbr IrmPort R 2.00 0.05 10 1 100 500 0 0 0 0:03 RkiosAclMan Review 3.00 0.03 30 0 100 500 0 0 0 0:07 GalK5DriverMan Revie 5.00 0.00 20 0 100 500 0 0 0 0:00 FrysSpiRomMan 0.50 0.00 2 0 100 500 0 0 0 0:00 GalGlmLinecardVp(1) 5.00 0.26 20 19 100 500 0 0 0 0:35 Temperature monitor 0.40 0.01 4 0 100 500 0 0 0 0:02 GalGlmPollerMan 3.00 0.01 20 0 100 500 0 0 0 0:03 ResetDetect 1 0.40 0.01 4 0 100 500 0 0 0 0:01 Quack 4.00 0.00 20 0 100 500 0 0 0 0:00 GlmBridgeMan(0) revi 0.50 0.01 2 0 100 500 0 0 0 0:00 EpmPortGroup(0:N) st 0.50 0.03 2 0 100 500 0 0 0 0:08 EpmPortGroup(0:N) on 0.50 0.00 4 0 100 500 0 0 0 0:00 X2Controller(0) 0.50 0.01 0 0 100 500 0 0 0 0:00 PluggableLinecard(0: 0.50 0.00 1 0 100 500 0 0 0 0:00 PluggableLinecard(0: 0.50 0.02 1 0 100 500 0 0 0 0:03 EpmPluggableGroup(0: 0.60 0.00 6 0 100 500 0 0 0 0:00 GalK5DriverMan Revie 5.00 0.00 20 0 100 500 0 0 0 0:00 FrysSpiRomMan 0.50 0.00 2 0 100 500 0 0 0 0:00 GalGlmLinecardVp(2) 5.00 0.30 20 45 100 500 0 0 0 0:39 Temperature monitor 0.40 0.00 4 0 100 500 0 0 0 0:02 GalGlmPollerMan 3.00 0.01 20 0 100 500 0 0 0 0:03 FrysSeepromMan 0.50 0.00 2 0 100 500 0 0 0 0:00 Quack 4.00 0.00 20 0 100 500 0 0 0 0:00 GlmBridgeMan(1) revi 0.50 0.00 2 0 100 500 0 0 0 0:00 Stub periodic global 0.50 0.00 5 0 100 500 0 0 0 0:00 Stub ondemand global 0.50 0.00 5 0 100 500 0 0 0 0:00 Xgstub Stats Review 0.50 0.12 5 0 100 500 0 0 0 0:18 EthPhyControllerMan( 0.40 0.00 4 1 100 500 0 0 0 0:03 EthPhyControllerMan( 0.20 0.00 2 3 100 500 0 0 0 0:02 EthPoeControllerMan( 0.20 0.00 2 0 100 500 0 0 0 0:00 EthPoeControllerMan( 0.20 0.00 2 0 100 500 0 0 0 0:00 EthPoeControllerMan( 0.20 0.06 2 0 100 500 0 0 0 0:10 POE health slot-02 1.00 0.05 6 0 100 500 0 0 0 0:07 POE fast slot-02 1.00 0.00 6 0 100 500 0 0 0 0:01 EpmPortGroup(1:N) st 0.50 0.10 2 0 100 500 0 0 0 0:15 EpmPortGroup(1:N) on 0.50 0.00 4 5 100 500 0 0 0 0:01 ------------- %CPU Totals 237.79 11.65 Allocation ceiling Current allocation ------------------ ------------------ kbytes % in use kbytes % in use Chassis 1 Linecard 1 2560.00 4% 112.40 100% Chassis 1 Linecard 2 2560.00 38% 991.22 100% Chassis 1 Linecard 3 2560.00 0% 0.00 0% TSM objects ------------------ ------------------ PacketInfoItem 781.25 0% 0.00 0% VbufNodes2400 80.50 0% 0.00 0% VbufNodes1600 55.50 0% 3.46 0% VbufNodes400 288.00 0% 1.68 66% VbufNodes64 60.00 0% 0.00 0% VbufNodes4200 68.37 12% 8.54 100% Packet 2474.28 0% 0.10 0% RkiosSysPacketBuf 281.25 0% 0.63 0% IndexCache 800.78 0% 0.00 0% K5InternalVlanIdMap 96.00 0% 0.00 0% K5AclOpDescNode 10752.00 0% 0.00 0% K5AclRetMapEntryNode 41.00 0% 0.00 0% K5AclLabelListNode 768.00 0% 0.00 0% K5AclIpv6PackedAddrH 1024.00 0% 0.00 0% K5AdjGroups 960.00 0% 0.05 100% IrmFibUnicastRpfList 8192.00 0% 0.09 66% K5L3FwdEntrys 27040.00 0% 0.20 100% K5L3FwdEntryAvlTree2 12480.00 0% 0.18 75% K5L3FwdTreeEntrys 21840.00 0% 0.65 75% K5L3FwdTreeEntryAvlT 49920.00 0% 0.04 0% IrmMfibFastDropFlowM 576.00 0% 0.00 0% K5QosTxQueSelTableBl 12.00 2% 0.32 100% K5QosPolicerBlockNod 2.00 0% 0.00 0% K5QosPolicerBlockMem 69.00 0% 0.00 0% K5QosPolicerMemAlloc 448.00 0% 0.00 0% K5QosFeatureInfoList 2048.00 0% 0.31 100% K5QosLabelToFeatureE 1792.00 0% 0.05 100% K5QosPathFeatureInfo 384.00 0% 0.01 100% K5CpuPacketInfoItem 781.25 0% 0.00 0% MatEntrys 18432.00 0% 5.06 100% MatEntryTableIterato 1.00 0% 0.03 0% RkiosL2MacVlanEntrie 64.00 0% 0.00 0% RkiosL3Port 2681.01 0% 0.42 100% PimPhyports 1820.31 17% 313.09 100% PimPorts 1237.50 19% 243.37 100% PimModules 296.00 0% 2.31 100% PimSlots 18.00 1% 0.21 100% PimChassis 8.25 50% 4.12 100% PimQuack 1.64 6% 0.10 100% EbmVlans 9152.00 0% 33.51 100% EbmVlanGroupEntrys 6400.00 0% 2.34 100% EbmPorts 487.50 19% 93.84 100% EbmPortHostEntrys 448.00 0% 0.57 100% EbmIeNodes 540.00 0% 5.27 100% EbmPortVlanAclFeatur 3456.00 0% 0.00 0% EbmPortVlanMap Alloc 64.00 0% 0.00 0% EbmSortedHostTableIt 1.75 0% 0.05 0% EbmSortedGroupTableI 1.75 0% 0.05 0% EbmHostRedundancyMan 1082.81 0% 0.00 0% EbmHostAgeRedundancy 1082.81 0% 0.00 0% IrmVrfs 628.00 0% 4.90 100% IrmFibLoadBalances 1280.00 0% 0.00 0% IrmFibAdjs 1920.00 0% 0.58 100% IrmPortMemMan 6595.09 0% 1.53 100% IrmPortEtherAddrEntr 500.00 0% 0.00 0% IrmFibEntries 12288.00 0% 0.09 100% IrmMfibEntryMemMan 14336.00 0% 0.00 0% IrmWccpMemMan 36.37 0% 0.00 0% IrmWccpServiceGroupL 0.06 0% 0.00 0% AclOp 2176.00 0% 0.00 0% AclOpAceSet 3808.00 0% 0.00 0% AclClassifier 1280.00 0% 1.52 100% AclFeature 2755.59 0% 2.82 100% Acl 1536.00 0% 1.87 100% Ace24 10880.00 0% 3.98 100% Ace48 17408.00 0% 3.00 100% AclFlowLabelListNode 7616.00 0% 0.00 0% AceActionDescStorage 1088.00 0% 0.00 0% AclListNode 512.00 0% 0.32 100% AceListNode 25600.00 0% 0.74 30% AclClassifierActionL 2560.00 0% 1.40 100% AclLayerFeatureListN 512.00 0% 0.07 40% AclClassifierListNod 512.00 0% 0.00 0% TableMapMan NameToTa 27.00 0% 0.00 0% TableMapAllocator 128.00 0% 0.00 0% FlatAcl 512.00 0% 0.37 8% FlatAce24 11264.00 0% 3.86 24% FlatAce48 17408.00 0% 0.00 0% FlatAceActionListNod 307200.00 0% 4.26 31% FlatAclOpSetStorage 3072.00 0% 0.00 0% FlatAclCacheNode 4224.00 0% 0.25 100% FlatAclListNode 256.00 0% 0.17 0% QosFeatureClassifier 353.03 0% 0.00 0% QosFeatureClassifier 706.06 0% 0.00 0% QosClassifierActionL 9884.87 0% 0.00 0% QosNestedClassifierA 15533.37 0% 0.21 100% QosPortVlanAclFeatur 1224.00 0% 0.00 0% QoS Policers 37000.00 0% 0.00 0% Qos FlowFnf 7.81 0% 0.00 0% KxAclPath 1024.00 0% 0.62 100% KxAclPathListNode 1280.00 0% 0.00 0% KxAclConstPathListNo 1280.00 0% 0.47 32% Rkios QoS PolicyMaps 445.67 0% 0.00 0% FlowMetadataFlowSet 450.00 0% 0.00 0% AclClassifierIdToCla 48.00 0% 0.00 0% Rkios QoS ClassMaps 1024.00 0% 0.03 100% AclToIosFilterMapLis 384.00 0% 0.00 0% Rkios QoS Policers 3500.00 0% 0.00 0% RkiosAclMan NamedGal 43.75 0% 0.00 0% EpmPolicyListNode 120.00 0% 0.00 0% EpmAceListNode 192.00 0% 0.00 0% RkiosAclSecurityEpmP 4080.00 0% 0.00 0% Rkios Acl VlanMaps 128.00 0% 0.00 0% Rkios Acl VlanMapEnt 937.50 0% 0.00 0% RkiosTableMap Galios 3.00 0% 0.00 0% MacsecTransmitScMan 84.00 0% 0.00 0% MacsecTransmitSaMan 304.00 0% 0.00 0% MacsecReceiveScMan 168.00 0% 0.00 0% MacsecReceiveSaMan 448.00 0% 0.00 0% KxAclLabeledFlatAcl 3584.00 0% 0.21 100% KxAclLabeledFlatAclE 3072.00 0% 0.18 100% EbmVlanHostEntrys 3437.50 0% 13.12 95% FlowTable 1.21 0% 0.00 0% VsiBuffers(4096) 400.00 0% 8.00 0% VsiBuffers(1024) 1500.00 6% 98.00 97% VsiBuffers(128) 762.50 0% 6.00 0% VsiBuffers(16) 146.87 0% 5.53 4% VsiTransactions(1) 246.09 0% 6.44 14% VsiTransactions(10) 266.60 2% 23.15 32% VsiTransactions(18) 77.10 0% 0.18 0% VsiTransactions(25) 88.59 0% 0.00 0% VsiTransactions(80) 178.82 0% 0.00 0% VsiTransactionRespon 39.92 1% 1.23 36% VsiReqPool(s2w) 65.62 0% 0.93 0% VsiReqPool(vli) 782.03 1% 13.40 71% VsiReqPool(mdio22) 328.12 0% 31.50 0% VsiReqPool(mdio45) 229.68 0% 0.37 0% GalGbicEntrys 7.82 0% 0.00 0% IrmMfibIntrfs 6144.00 0% 0.00 0% Event Nodes 160.00 0% 0.00 0% Event Nodes 160.00 0% 0.06 0% K5L3FlcEntryAvlTree2 3225.58 0% 0.09 0% K5AclLabelSignatureM 10368.00 0% 0.63 100% K5AclLabelMapEntryPa 1408.00 0% 0.00 0% TableMapMan NameToTa 27.00 0% 0.00 0% TableMapAllocator 128.00 0% 0.00 0% InpTosMarkTbl BlockA 14.00 1% 0.21 100% InpCosMarkTbl BlockA 14.00 1% 0.21 100% InpExpMarkTbl BlockA 14.00 1% 0.21 100% OutTosMarkTbl BlockA 14.00 1% 0.21 100% OutCosMarkTbl BlockA 14.00 1% 0.21 100% OutExpMarkTbl BlockA 14.00 3% 0.49 100% K5TxPacketInfo 384.00 0% 0.02 0% K5TxPacket 320.00 0% 0.01 0% RkisoIpPbrRouteMaps 97.65 0% 0.00 0% CommandTables 48.00 14% 6.75 100% ------------------ ------------------ TSM totals 769731.50 0% 971.42 90% ------------------ show platform environment variables ------------------ PS1="rommon ! >" RommonVer="12.2(44r)SG5" Fa1Enable="1" ConfigReg="0x2101" DiagMonitorAction="Normal" BOOT="cat4500e-lanbasek9-mz.151-2.SG5.bin,1;" RET_2_RTS="06:12:41 GMT Thu Mar 26 2015" RET_2_RCALTS="" BootedFileName="bootflash:cat4500e-lanbasek9-mz.151-2.SG5.bin" ConsecPostPassedCnt="12" BootStatus="Success" ------------------ show platform portmap ------------------ Interface Superport Subport PortSet Phyport Aggport PimPhyport Gi2/1 16 1 4 21 8 0 Gi2/2 16 0 4 20 9 1 Gi2/3 16 3 4 23 10 2 Gi2/4 16 2 4 22 11 3 Gi2/5 16 5 4 25 12 4 Gi2/6 16 4 4 24 13 5 Gi2/7 17 1 4 27 14 6 Gi2/8 17 0 4 26 15 7 Gi2/9 17 3 4 29 16 8 Gi2/10 17 2 4 28 17 9 Gi2/11 17 5 4 31 18 10 Gi2/12 17 4 4 30 19 11 Gi2/13 18 1 4 33 20 12 Gi2/14 18 0 4 32 21 13 Gi2/15 18 3 4 35 22 14 Gi2/16 18 2 4 34 23 15 Gi2/17 18 5 4 37 24 16 Gi2/18 18 4 4 36 25 17 Gi2/19 19 1 4 39 26 18 Gi2/20 19 0 4 38 27 19 Gi2/21 19 3 4 41 28 20 Gi2/22 19 2 4 40 29 21 Gi2/23 19 5 4 43 30 22 Gi2/24 19 4 4 42 31 23 Interface Superport Subport PortSet Phyport Aggport PimPhyport Gi2/25 24 1 6 45 32 24 Gi2/26 24 0 6 44 33 25 Gi2/27 24 3 6 47 34 26 Gi2/28 24 2 6 46 35 27 Gi2/29 24 5 6 49 36 28 Gi2/30 24 4 6 48 37 29 Gi2/31 25 1 6 51 38 30 Gi2/32 25 0 6 50 39 31 Gi2/33 25 3 6 53 40 32 Gi2/34 25 2 6 52 41 33 Gi2/35 25 5 6 55 42 34 Gi2/36 25 4 6 54 43 35 Gi2/37 26 1 6 57 44 36 Gi2/38 26 0 6 56 45 37 Gi2/39 26 3 6 59 46 38 Gi2/40 26 2 6 58 47 39 Gi2/41 26 5 6 61 48 40 Gi2/42 26 4 6 60 49 41 Gi2/43 27 1 6 63 50 42 Gi2/44 27 0 6 62 51 43 Gi2/45 27 3 6 65 52 44 Gi2/46 27 2 6 64 53 45 Gi2/47 27 5 6 67 54 46 Gi2/48 27 4 6 66 55 47 Interface Superport Subport PortSet Phyport Aggport PimPhyport Gi1/3 29 0 7 12 Po1(175) 162 Gi1/4 30 0 7 14 Po1(175) 163 Gi1/5 59 0 17 16 172 164 Gi1/6 60 0 17 18 173 165 Interface Superport Subport PortSet Phyport Aggport Cpu phyport 58 0 16 4 Cpu aggport(0) Cpu phyport 58 1 16 5 Cpu aggport(1) Cpu phyport 58 2 16 6 Cpu aggport(2) Cpu phyport 58 3 16 7 Cpu aggport(3) Cpu phyport 58 4 16 8 Cpu aggport(4) Cpu phyport 58 5 16 9 Cpu aggport(5) Cpu phyport 58 6 16 10 Cpu aggport(6) Cpu phyport 58 7 16 11 Cpu aggport(7) ------------------ show platform software drop-port ------------------ Drop Port Software State Dequeue Enabled : True DropQueue Water mark Reg : 0x8000600038001D4C DropQueue Water mark Reg : 0x7FE32010 (Empty, PreEmpty, Head:0x3D2, Tail:0x3D2) DropActivityCount : 1392258 DropOverrunCount : 0 Drop Event Reason Packets Dropped ----------------- --------------- SptDrop 1233425 BridgeToRxPortDrop 169566 ------------------ show platform software interface all ------------------ Software Phyport State for all phyports --------------------------------------- Switch Phyport 0 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 0 0 0x000000 0 0 0 63 19 0 1 0x000000 0 0 0 63 19 0 2 0x000000 0 0 0 63 19 0 3 0x000000 0 0 0 63 19 0 4 0x000000 0 0 0 63 19 0 5 0x000000 0 0 0 63 19 0 6 0x000000 0 0 0 63 19 0 7 0x000000 0 0 0 63 19 Switch Phyport 0 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : Unknown PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 0 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 1 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 1 0 0x000000 0 0 0 63 19 1 1 0x000000 0 0 0 63 19 1 2 0x000000 0 0 0 63 19 1 3 0x000000 0 0 0 63 19 1 4 0x000000 0 0 0 63 19 1 5 0x000000 0 0 0 63 19 1 6 0x000000 0 0 0 63 19 1 7 0x000000 0 0 0 63 19 Switch Phyport 1 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 1 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 2 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 2 0 0x000000 0 0 0 63 19 2 1 0x000000 0 0 0 63 19 2 2 0x000000 0 0 0 63 19 2 3 0x000000 0 0 0 63 19 2 4 0x000000 0 0 0 63 19 2 5 0x000000 0 0 0 63 19 2 6 0x000000 0 0 0 63 19 2 7 0x000000 0 0 0 63 19 Switch Phyport 2 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 2 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 3 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 3 0 0x000000 0 0 0 63 19 3 1 0x000000 0 0 0 63 19 3 2 0x000000 0 0 0 63 19 3 3 0x000000 0 0 0 63 19 3 4 0x000000 0 0 0 63 19 3 5 0x000000 0 0 0 63 19 3 6 0x000000 0 0 0 63 19 3 7 0x000000 0 0 0 63 19 Switch Phyport 3 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 3 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 4 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 4 0 0x01B000 8 0 0 63 19 4 1 0x01B008 600 0 0 63 19 4 2 0x01B260 96 0 0 63 19 4 3 0x01B2C0 24 0 0 63 19 4 4 0x01B2D8 200 0 0 63 19 4 5 0x01B3A0 96 0 0 63 19 4 6 0x01B400 96 0 0 63 19 4 7 0x01B460 96 0 0 63 19 Switch Phyport 4 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 0 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 4 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 4 Non-Zero Software Statistics TxBytesTxQ1 : 558194 Switch Phyport 5 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 5 0 0x01B4C0 96 0 0 63 19 5 1 0x01B520 1000 0 0 63 19 5 2 0x01B908 96 0 0 63 19 5 3 0x01B968 96 0 0 63 19 5 4 0x01B9C8 96 0 0 63 19 5 5 0x01BA28 96 0 0 63 19 5 6 0x01BA88 96 0 0 63 19 5 7 0x01BAE8 96 0 0 63 19 Switch Phyport 5 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 1 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 5 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 5 Non-Zero Software Statistics TxBytesTxQ1 : 9920325 Switch Phyport 6 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 6 0 0x01BB48 200 0 0 63 19 6 1 0x01BC10 96 0 0 63 19 6 2 0x01BC70 96 0 0 63 19 6 3 0x01BCD0 200 0 0 63 19 6 4 0x01BD98 200 0 0 63 19 6 5 0x01BE60 96 0 0 63 19 6 6 0x01BEC0 96 0 0 63 19 6 7 0x01BF20 128 0 0 63 19 Switch Phyport 6 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 2 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 6 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 6 Non-Zero Software Statistics TxBytesTxQ0 : 126528 Switch Phyport 7 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 7 0 0x01BFA0 200 0 0 63 19 7 1 0x01C068 200 0 0 63 19 7 2 0x01C130 200 0 0 63 19 7 3 0x01C1F8 8 0 0 63 19 7 4 0x01C200 8 0 0 63 19 7 5 0x01C208 8 0 0 63 19 7 6 0x01C210 8 0 0 63 19 7 7 0x01C218 8 0 0 63 19 Switch Phyport 7 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 3 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 7 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 8 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 8 0 0x01C220 200 0 0 63 19 8 1 0x01C2E8 200 0 0 63 19 8 2 0x01C3B0 200 0 0 63 19 8 3 0x01C478 200 0 0 63 19 8 4 0x01C540 200 0 0 63 19 8 5 0x01C608 200 0 0 63 19 8 6 0x01C6D0 200 0 0 63 19 8 7 0x01C798 200 0 0 63 19 Switch Phyport 8 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 4 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 8 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 8 Non-Zero Software Statistics TxBytesTxQ7 : 204 Switch Phyport 9 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 9 0 0x01C860 200 0 0 63 19 9 1 0x01C928 200 0 0 63 19 9 2 0x01C9F0 200 0 0 63 19 9 3 0x01CAB8 200 0 0 63 19 9 4 0x01CB80 200 0 0 63 19 9 5 0x01CC48 200 0 0 63 19 9 6 0x01CD10 200 0 0 63 19 9 7 0x01CDD8 200 0 0 63 19 Switch Phyport 9 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 5 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 9 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 10 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 10 0 0x01CEA0 304 0 0 63 19 10 1 0x01CFD0 304 0 0 63 19 10 2 0x01D100 304 0 0 63 19 10 3 0x01D230 304 0 0 63 19 10 4 0x01D360 304 0 0 63 19 10 5 0x01D490 304 0 0 63 19 10 6 0x01D5C0 304 0 0 63 19 10 7 0x01D6F0 304 0 0 63 19 Switch Phyport 10 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 6 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 10 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 10 Non-Zero Software Statistics TxBytesTxQ7 : 981593 Switch Phyport 11 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 11 0 0x01D820 304 0 0 63 19 11 1 0x01D950 304 0 0 63 19 11 2 0x01DA80 304 0 0 63 19 11 3 0x01DBB0 304 0 0 63 19 11 4 0x01DCE0 304 0 0 63 19 11 5 0x01DE10 304 0 0 63 19 11 6 0x01DF40 304 0 0 63 19 11 7 0x01E070 304 0 0 63 19 Switch Phyport 11 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 7 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 11 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi1/3 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi1/3 0 0x0255A0 16 0 0 63 19 Gi1/3 1 0x000000 0 0 0 63 19 Gi1/3 2 0x000000 0 0 0 63 19 Gi1/3 3 0x000000 0 0 0 63 19 Gi1/3 4 0x000000 0 0 0 63 19 Gi1/3 5 0x000000 0 0 0 63 19 Gi1/3 6 0x000000 0 0 0 63 19 Gi1/3 7 0x0255B0 8184 0 0 43 15 Switch Phyport Gi1/3 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 175 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi1/3 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi1/3 Non-Zero Software Statistics TxBytesTxQ0 : 313622 TxBytesTxQ7 : 7817932696 RxIpv4HeaderGoodPackets : 216939 RxDot1qTaggedPackets : 301136 TxDot1qTaggedPackets : 6037024 Cos RxBytes TxBytes RxPackets TxPackets ------------------------------------------------------ 0 57464696 7815449638 218979 6028321 1 9513462 0 74390 0 2 94588 0 1391 0 3 29890 658056 385 8703 6 828519 0 5991 0 Switch Phyport Gi1/3 Software Status EEE: N/A Switch Phyport 13 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 13 0 0x000000 0 0 0 63 19 13 1 0x000000 0 0 0 63 19 13 2 0x000000 0 0 0 63 19 13 3 0x000000 0 0 0 63 19 13 4 0x000000 0 0 0 63 19 13 5 0x000000 0 0 0 63 19 13 6 0x000000 0 0 0 63 19 13 7 0x000000 0 0 0 63 19 Switch Phyport 13 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 13 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi1/4 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi1/4 0 0x028FA0 16 0 0 63 19 Gi1/4 1 0x000000 0 0 0 63 19 Gi1/4 2 0x000000 0 0 0 63 19 Gi1/4 3 0x000000 0 0 0 63 19 Gi1/4 4 0x000000 0 0 0 63 19 Gi1/4 5 0x000000 0 0 0 63 19 Gi1/4 6 0x000000 0 0 0 63 19 Gi1/4 7 0x028FB0 8184 0 0 43 15 Switch Phyport Gi1/4 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 175 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi1/4 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi1/4 Non-Zero Software Statistics TxBytesTxQ0 : 311014 TxBytesTxQ7 : 17748697179 RxIpv4HeaderGoodPackets : 10337081 RxIpv6HeaderGoodPackets : 11795 RxDot1qTaggedPackets : 11671150 TxDot1qTaggedPackets : 13566973 Cos RxBytes TxBytes RxPackets TxPackets ------------------------------------------------------ 0 870028741 17748119926 10321721 13559201 1 6056729 0 47882 0 2 18564 0 273 0 3 26260 577253 355 7783 6 8680174 0 122896 0 7 84817688 0 1178027 0 Switch Phyport Gi1/4 Software Status EEE: N/A Switch Phyport 15 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 15 0 0x000000 0 0 0 63 19 15 1 0x000000 0 0 0 63 19 15 2 0x000000 0 0 0 63 19 15 3 0x000000 0 0 0 63 19 15 4 0x000000 0 0 0 63 19 15 5 0x000000 0 0 0 63 19 15 6 0x000000 0 0 0 63 19 15 7 0x000000 0 0 0 63 19 Switch Phyport 15 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 15 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi1/5 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi1/5 0 0x02C9A0 16 0 0 63 19 Gi1/5 1 0x000000 0 0 0 63 19 Gi1/5 2 0x000000 0 0 0 63 19 Gi1/5 3 0x000000 0 0 0 63 19 Gi1/5 4 0x000000 0 0 0 63 19 Gi1/5 5 0x000000 0 0 0 63 19 Gi1/5 6 0x000000 0 0 0 63 19 Gi1/5 7 0x02C9B0 8184 0 0 43 15 Switch Phyport Gi1/5 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 172 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi1/5 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi1/5 Software Status EEE: N/A Switch Phyport 17 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 17 0 0x000000 0 0 0 63 19 17 1 0x000000 0 0 0 63 19 17 2 0x000000 0 0 0 63 19 17 3 0x000000 0 0 0 63 19 17 4 0x000000 0 0 0 63 19 17 5 0x000000 0 0 0 63 19 17 6 0x000000 0 0 0 63 19 17 7 0x000000 0 0 0 63 19 Switch Phyport 17 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 17 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi1/6 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi1/6 0 0x0303A0 16 0 0 63 19 Gi1/6 1 0x000000 0 0 0 63 19 Gi1/6 2 0x000000 0 0 0 63 19 Gi1/6 3 0x000000 0 0 0 63 19 Gi1/6 4 0x000000 0 0 0 63 19 Gi1/6 5 0x000000 0 0 0 63 19 Gi1/6 6 0x000000 0 0 0 63 19 Gi1/6 7 0x0303B0 8184 0 0 43 15 Switch Phyport Gi1/6 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 173 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi1/6 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi1/6 Software Status EEE: N/A Switch Phyport 19 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 19 0 0x000000 0 0 0 63 19 19 1 0x000000 0 0 0 63 19 19 2 0x000000 0 0 0 63 19 19 3 0x000000 0 0 0 63 19 19 4 0x000000 0 0 0 63 19 19 5 0x000000 0 0 0 63 19 19 6 0x000000 0 0 0 63 19 19 7 0x000000 0 0 0 63 19 Switch Phyport 19 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 19 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/2 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/2 0 0x03F698 16 0 0 63 19 Gi2/2 1 0x000000 0 0 0 63 19 Gi2/2 2 0x000000 0 0 0 63 19 Gi2/2 3 0x000000 0 0 0 63 19 Gi2/2 4 0x000000 0 0 0 63 19 Gi2/2 5 0x000000 0 0 0 63 19 Gi2/2 6 0x000000 0 0 0 63 19 Gi2/2 7 0x03F6A8 2760 0 0 43 15 Switch Phyport Gi2/2 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 9 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/2 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/2 Non-Zero Software Statistics TxBytesTxQ0 : 138366 TxBytesTxQ7 : 140752 RxIpv4HeaderGoodPackets : 1507 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1521 TxDot1qTaggedPackets : 1143 Switch Phyport Gi2/2 Software Status EEE: N/A Switch Phyport Gi2/1 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/1 0 0x03EBC0 16 0 0 63 19 Gi2/1 1 0x000000 0 0 0 63 19 Gi2/1 2 0x000000 0 0 0 63 19 Gi2/1 3 0x000000 0 0 0 63 19 Gi2/1 4 0x000000 0 0 0 63 19 Gi2/1 5 0x000000 0 0 0 63 19 Gi2/1 6 0x000000 0 0 0 63 19 Gi2/1 7 0x03EBD0 2760 0 0 43 15 Switch Phyport Gi2/1 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 8 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/1 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/1 Software Status EEE: N/A Switch Phyport Gi2/4 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/4 0 0x040C48 16 0 0 63 19 Gi2/4 1 0x000000 0 0 0 63 19 Gi2/4 2 0x000000 0 0 0 63 19 Gi2/4 3 0x000000 0 0 0 63 19 Gi2/4 4 0x000000 0 0 0 63 19 Gi2/4 5 0x000000 0 0 0 63 19 Gi2/4 6 0x000000 0 0 0 63 19 Gi2/4 7 0x040C58 2760 0 0 43 15 Switch Phyport Gi2/4 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 11 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/4 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/4 Non-Zero Software Statistics TxBytesTxQ0 : 138366 TxBytesTxQ7 : 120661 RxIpv4HeaderGoodPackets : 1490 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1504 TxDot1qTaggedPackets : 1127 Switch Phyport Gi2/4 Software Status EEE: N/A Switch Phyport Gi2/3 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/3 0 0x040170 16 0 0 63 19 Gi2/3 1 0x000000 0 0 0 63 19 Gi2/3 2 0x000000 0 0 0 63 19 Gi2/3 3 0x000000 0 0 0 63 19 Gi2/3 4 0x000000 0 0 0 63 19 Gi2/3 5 0x000000 0 0 0 63 19 Gi2/3 6 0x000000 0 0 0 63 19 Gi2/3 7 0x040180 2760 0 0 43 15 Switch Phyport Gi2/3 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 10 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/3 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/3 Non-Zero Software Statistics TxBytesTxQ0 : 137918 TxBytesTxQ7 : 2406426 RxIpv4HeaderGoodPackets : 83 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/3 Software Status EEE: N/A Switch Phyport Gi2/6 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/6 0 0x0421F8 16 0 0 63 19 Gi2/6 1 0x000000 0 0 0 63 19 Gi2/6 2 0x000000 0 0 0 63 19 Gi2/6 3 0x000000 0 0 0 63 19 Gi2/6 4 0x000000 0 0 0 63 19 Gi2/6 5 0x000000 0 0 0 63 19 Gi2/6 6 0x000000 0 0 0 63 19 Gi2/6 7 0x042208 2760 0 0 43 15 Switch Phyport Gi2/6 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 126 AggportId : 13 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/6 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/6 Non-Zero Software Statistics TxBytesTxQ0 : 138366 TxBytesTxQ7 : 3423749 RxIpv4HeaderGoodPackets : 5530 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1523 TxDot1qTaggedPackets : 1161 Switch Phyport Gi2/6 Software Status EEE: N/A Switch Phyport Gi2/5 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/5 0 0x041720 16 0 0 63 19 Gi2/5 1 0x000000 0 0 0 63 19 Gi2/5 2 0x000000 0 0 0 63 19 Gi2/5 3 0x000000 0 0 0 63 19 Gi2/5 4 0x000000 0 0 0 63 19 Gi2/5 5 0x000000 0 0 0 63 19 Gi2/5 6 0x000000 0 0 0 63 19 Gi2/5 7 0x041730 2760 0 0 43 15 Switch Phyport Gi2/5 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 12 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/5 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/5 Non-Zero Software Statistics TxBytesTxQ0 : 137918 TxBytesTxQ7 : 2396694 RxIpv4HeaderGoodPackets : 70 RxIpv6HeaderGoodPackets : 16 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/5 Software Status EEE: N/A Switch Phyport Gi2/8 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/8 0 0x0437D8 16 0 0 63 19 Gi2/8 1 0x000000 0 0 0 63 19 Gi2/8 2 0x000000 0 0 0 63 19 Gi2/8 3 0x000000 0 0 0 63 19 Gi2/8 4 0x000000 0 0 0 63 19 Gi2/8 5 0x000000 0 0 0 63 19 Gi2/8 6 0x000000 0 0 0 63 19 Gi2/8 7 0x0437E8 2760 0 0 43 15 Switch Phyport Gi2/8 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 15 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/8 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/8 Software Status EEE: N/A Switch Phyport Gi2/7 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/7 0 0x042D00 16 0 0 63 19 Gi2/7 1 0x000000 0 0 0 63 19 Gi2/7 2 0x000000 0 0 0 63 19 Gi2/7 3 0x000000 0 0 0 63 19 Gi2/7 4 0x000000 0 0 0 63 19 Gi2/7 5 0x000000 0 0 0 63 19 Gi2/7 6 0x000000 0 0 0 63 19 Gi2/7 7 0x042D10 2760 0 0 43 15 Switch Phyport Gi2/7 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 214 AggportId : 14 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/7 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/7 Non-Zero Software Statistics TxBytesTxQ0 : 137032 TxBytesTxQ7 : 8961558 RxIpv4HeaderGoodPackets : 22582 RxIpv6HeaderGoodPackets : 650 Switch Phyport Gi2/7 Software Status EEE: N/A Switch Phyport Gi2/10 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/10 0 0x044D88 16 0 0 63 19 Gi2/10 1 0x000000 0 0 0 63 19 Gi2/10 2 0x000000 0 0 0 63 19 Gi2/10 3 0x000000 0 0 0 63 19 Gi2/10 4 0x000000 0 0 0 63 19 Gi2/10 5 0x000000 0 0 0 63 19 Gi2/10 6 0x000000 0 0 0 63 19 Gi2/10 7 0x044D98 2760 0 0 43 15 Switch Phyport Gi2/10 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 214 AggportId : 17 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/10 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/10 Non-Zero Software Statistics TxBytesTxQ0 : 137321 TxBytesTxQ7 : 6366560 RxIpv4HeaderGoodPackets : 15633 RxIpv6HeaderGoodPackets : 597 Switch Phyport Gi2/10 Software Status EEE: N/A Switch Phyport Gi2/9 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/9 0 0x0442B0 16 0 0 63 19 Gi2/9 1 0x000000 0 0 0 63 19 Gi2/9 2 0x000000 0 0 0 63 19 Gi2/9 3 0x000000 0 0 0 63 19 Gi2/9 4 0x000000 0 0 0 63 19 Gi2/9 5 0x000000 0 0 0 63 19 Gi2/9 6 0x000000 0 0 0 63 19 Gi2/9 7 0x0442C0 2760 0 0 43 15 Switch Phyport Gi2/9 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 16 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/9 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/9 Software Status EEE: N/A Switch Phyport Gi2/12 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/12 0 0x046338 16 0 0 63 19 Gi2/12 1 0x000000 0 0 0 63 19 Gi2/12 2 0x000000 0 0 0 63 19 Gi2/12 3 0x000000 0 0 0 63 19 Gi2/12 4 0x000000 0 0 0 63 19 Gi2/12 5 0x000000 0 0 0 63 19 Gi2/12 6 0x000000 0 0 0 63 19 Gi2/12 7 0x046348 2760 0 0 43 15 Switch Phyport Gi2/12 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 19 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/12 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/12 Non-Zero Software Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 209541 RxIpv4HeaderGoodPackets : 1782 RxDot1qTaggedPackets : 1800 TxDot1qTaggedPackets : 2001 Switch Phyport Gi2/12 Software Status EEE: N/A Switch Phyport Gi2/11 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/11 0 0x045860 16 0 0 63 19 Gi2/11 1 0x000000 0 0 0 63 19 Gi2/11 2 0x000000 0 0 0 63 19 Gi2/11 3 0x000000 0 0 0 63 19 Gi2/11 4 0x000000 0 0 0 63 19 Gi2/11 5 0x000000 0 0 0 63 19 Gi2/11 6 0x000000 0 0 0 63 19 Gi2/11 7 0x045870 2760 0 0 43 15 Switch Phyport Gi2/11 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 18 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/11 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/11 Non-Zero Software Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 142183 RxIpv4HeaderGoodPackets : 1532 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1546 TxDot1qTaggedPackets : 1164 Switch Phyport Gi2/11 Software Status EEE: N/A Switch Phyport Gi2/14 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/14 0 0x047918 16 0 0 63 19 Gi2/14 1 0x000000 0 0 0 63 19 Gi2/14 2 0x000000 0 0 0 63 19 Gi2/14 3 0x000000 0 0 0 63 19 Gi2/14 4 0x000000 0 0 0 63 19 Gi2/14 5 0x000000 0 0 0 63 19 Gi2/14 6 0x000000 0 0 0 63 19 Gi2/14 7 0x047928 2760 0 0 43 15 Switch Phyport Gi2/14 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 214 AggportId : 21 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/14 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/14 Non-Zero Software Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 6828740 RxIpv4HeaderGoodPackets : 15966 RxIpv6HeaderGoodPackets : 472 RxDot1qTaggedPackets : 1535 TxDot1qTaggedPackets : 1156 Switch Phyport Gi2/14 Software Status EEE: N/A Switch Phyport Gi2/13 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/13 0 0x046E40 16 0 0 63 19 Gi2/13 1 0x000000 0 0 0 63 19 Gi2/13 2 0x000000 0 0 0 63 19 Gi2/13 3 0x000000 0 0 0 63 19 Gi2/13 4 0x000000 0 0 0 63 19 Gi2/13 5 0x000000 0 0 0 63 19 Gi2/13 6 0x000000 0 0 0 63 19 Gi2/13 7 0x046E50 2760 0 0 43 15 Switch Phyport Gi2/13 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 20 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/13 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/13 Software Status EEE: N/A Switch Phyport Gi2/16 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/16 0 0x048EC8 16 0 0 63 19 Gi2/16 1 0x000000 0 0 0 63 19 Gi2/16 2 0x000000 0 0 0 63 19 Gi2/16 3 0x000000 0 0 0 63 19 Gi2/16 4 0x000000 0 0 0 63 19 Gi2/16 5 0x000000 0 0 0 63 19 Gi2/16 6 0x000000 0 0 0 63 19 Gi2/16 7 0x048ED8 2760 0 0 43 15 Switch Phyport Gi2/16 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 23 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/16 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/16 Non-Zero Software Statistics TxBytesTxQ0 : 138214 TxBytesTxQ7 : 119385 RxIpv4HeaderGoodPackets : 1488 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1502 TxDot1qTaggedPackets : 1125 Switch Phyport Gi2/16 Software Status EEE: N/A Switch Phyport Gi2/15 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/15 0 0x0483F0 16 0 0 63 19 Gi2/15 1 0x000000 0 0 0 63 19 Gi2/15 2 0x000000 0 0 0 63 19 Gi2/15 3 0x000000 0 0 0 63 19 Gi2/15 4 0x000000 0 0 0 63 19 Gi2/15 5 0x000000 0 0 0 63 19 Gi2/15 6 0x000000 0 0 0 63 19 Gi2/15 7 0x048400 2760 0 0 43 15 Switch Phyport Gi2/15 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2923 AggportId : 22 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/15 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/15 Non-Zero Software Statistics TxBytesTxQ0 : 136172 TxBytesTxQ7 : 4690132 RxIpv4HeaderGoodPackets : 2550 Switch Phyport Gi2/15 Software Status EEE: N/A Switch Phyport Gi2/18 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/18 0 0x04A478 16 0 0 63 19 Gi2/18 1 0x000000 0 0 0 63 19 Gi2/18 2 0x000000 0 0 0 63 19 Gi2/18 3 0x000000 0 0 0 63 19 Gi2/18 4 0x000000 0 0 0 63 19 Gi2/18 5 0x000000 0 0 0 63 19 Gi2/18 6 0x000000 0 0 0 63 19 Gi2/18 7 0x04A488 2760 0 0 43 15 Switch Phyport Gi2/18 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 25 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/18 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/18 Non-Zero Software Statistics TxBytesTxQ0 : 139107 TxBytesTxQ7 : 126346 RxIpv4HeaderGoodPackets : 1481 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1495 TxDot1qTaggedPackets : 1116 Switch Phyport Gi2/18 Software Status EEE: N/A Switch Phyport Gi2/17 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/17 0 0x0499A0 16 0 0 63 19 Gi2/17 1 0x000000 0 0 0 63 19 Gi2/17 2 0x000000 0 0 0 63 19 Gi2/17 3 0x000000 0 0 0 63 19 Gi2/17 4 0x000000 0 0 0 63 19 Gi2/17 5 0x000000 0 0 0 63 19 Gi2/17 6 0x000000 0 0 0 63 19 Gi2/17 7 0x0499B0 2760 0 0 43 15 Switch Phyport Gi2/17 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 24 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/17 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/17 Non-Zero Software Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 2373109 RxIpv4HeaderGoodPackets : 375 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/17 Software Status EEE: N/A Switch Phyport Gi2/20 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/20 0 0x04BA58 16 0 0 63 19 Gi2/20 1 0x000000 0 0 0 63 19 Gi2/20 2 0x000000 0 0 0 63 19 Gi2/20 3 0x000000 0 0 0 63 19 Gi2/20 4 0x000000 0 0 0 63 19 Gi2/20 5 0x000000 0 0 0 63 19 Gi2/20 6 0x000000 0 0 0 63 19 Gi2/20 7 0x04BA68 2760 0 0 43 15 Switch Phyport Gi2/20 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 27 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/20 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/20 Software Status EEE: N/A Switch Phyport Gi2/19 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/19 0 0x04AF80 16 0 0 63 19 Gi2/19 1 0x000000 0 0 0 63 19 Gi2/19 2 0x000000 0 0 0 63 19 Gi2/19 3 0x000000 0 0 0 63 19 Gi2/19 4 0x000000 0 0 0 63 19 Gi2/19 5 0x000000 0 0 0 63 19 Gi2/19 6 0x000000 0 0 0 63 19 Gi2/19 7 0x04AF90 2760 0 0 43 15 Switch Phyport Gi2/19 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 26 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/19 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/19 Non-Zero Software Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 128438 RxIpv4HeaderGoodPackets : 1484 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1498 TxDot1qTaggedPackets : 1118 Switch Phyport Gi2/19 Software Status EEE: N/A Switch Phyport Gi2/22 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/22 0 0x04D008 16 0 0 63 19 Gi2/22 1 0x000000 0 0 0 63 19 Gi2/22 2 0x000000 0 0 0 63 19 Gi2/22 3 0x000000 0 0 0 63 19 Gi2/22 4 0x000000 0 0 0 63 19 Gi2/22 5 0x000000 0 0 0 63 19 Gi2/22 6 0x000000 0 0 0 63 19 Gi2/22 7 0x04D018 2760 0 0 43 15 Switch Phyport Gi2/22 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 29 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/22 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/22 Non-Zero Software Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 126072 RxIpv4HeaderGoodPackets : 1477 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1491 TxDot1qTaggedPackets : 1113 Switch Phyport Gi2/22 Software Status EEE: N/A Switch Phyport Gi2/21 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/21 0 0x04C530 16 0 0 63 19 Gi2/21 1 0x000000 0 0 0 63 19 Gi2/21 2 0x000000 0 0 0 63 19 Gi2/21 3 0x000000 0 0 0 63 19 Gi2/21 4 0x000000 0 0 0 63 19 Gi2/21 5 0x000000 0 0 0 63 19 Gi2/21 6 0x000000 0 0 0 63 19 Gi2/21 7 0x04C540 2760 0 0 43 15 Switch Phyport Gi2/21 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 28 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/21 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/21 Software Status EEE: N/A Switch Phyport Gi2/24 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/24 0 0x04E5B8 16 0 0 63 19 Gi2/24 1 0x000000 0 0 0 63 19 Gi2/24 2 0x000000 0 0 0 63 19 Gi2/24 3 0x000000 0 0 0 63 19 Gi2/24 4 0x000000 0 0 0 63 19 Gi2/24 5 0x000000 0 0 0 63 19 Gi2/24 6 0x000000 0 0 0 63 19 Gi2/24 7 0x04E5C8 2760 0 0 43 15 Switch Phyport Gi2/24 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 1 AggportId : 31 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/24 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/24 Non-Zero Software Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 192 Switch Phyport Gi2/24 Software Status EEE: N/A Switch Phyport Gi2/23 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/23 0 0x04DAE0 16 0 0 63 19 Gi2/23 1 0x000000 0 0 0 63 19 Gi2/23 2 0x000000 0 0 0 63 19 Gi2/23 3 0x000000 0 0 0 63 19 Gi2/23 4 0x000000 0 0 0 63 19 Gi2/23 5 0x000000 0 0 0 63 19 Gi2/23 6 0x000000 0 0 0 63 19 Gi2/23 7 0x04DAF0 2760 0 0 43 15 Switch Phyport Gi2/23 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 30 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/23 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/23 Software Status EEE: N/A Switch Phyport Gi2/26 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/26 0 0x04FB98 16 0 0 63 19 Gi2/26 1 0x000000 0 0 0 63 19 Gi2/26 2 0x000000 0 0 0 63 19 Gi2/26 3 0x000000 0 0 0 63 19 Gi2/26 4 0x000000 0 0 0 63 19 Gi2/26 5 0x000000 0 0 0 63 19 Gi2/26 6 0x000000 0 0 0 63 19 Gi2/26 7 0x04FBA8 2760 0 0 43 15 Switch Phyport Gi2/26 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 33 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/26 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/26 Software Status EEE: N/A Switch Phyport Gi2/25 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/25 0 0x04F0C0 16 0 0 63 19 Gi2/25 1 0x000000 0 0 0 63 19 Gi2/25 2 0x000000 0 0 0 63 19 Gi2/25 3 0x000000 0 0 0 63 19 Gi2/25 4 0x000000 0 0 0 63 19 Gi2/25 5 0x000000 0 0 0 63 19 Gi2/25 6 0x000000 0 0 0 63 19 Gi2/25 7 0x04F0D0 2760 0 0 43 15 Switch Phyport Gi2/25 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 214 AggportId : 32 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/25 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/25 Non-Zero Software Statistics TxBytesTxQ0 : 137321 TxBytesTxQ7 : 219931138 RxIpv4HeaderGoodPackets : 734024 RxIpv6HeaderGoodPackets : 659 Switch Phyport Gi2/25 Software Status EEE: N/A Switch Phyport Gi2/28 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/28 0 0x051148 16 0 0 63 19 Gi2/28 1 0x000000 0 0 0 63 19 Gi2/28 2 0x000000 0 0 0 63 19 Gi2/28 3 0x000000 0 0 0 63 19 Gi2/28 4 0x000000 0 0 0 63 19 Gi2/28 5 0x000000 0 0 0 63 19 Gi2/28 6 0x000000 0 0 0 63 19 Gi2/28 7 0x051158 2760 0 0 43 15 Switch Phyport Gi2/28 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 214 AggportId : 35 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/28 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/28 Non-Zero Software Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 14829495 RxIpv4HeaderGoodPackets : 54885 RxIpv6HeaderGoodPackets : 485 RxDot1qTaggedPackets : 1526 TxDot1qTaggedPackets : 1151 Switch Phyport Gi2/28 Software Status EEE: N/A Switch Phyport Gi2/27 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/27 0 0x050670 16 0 0 63 19 Gi2/27 1 0x000000 0 0 0 63 19 Gi2/27 2 0x000000 0 0 0 63 19 Gi2/27 3 0x000000 0 0 0 63 19 Gi2/27 4 0x000000 0 0 0 63 19 Gi2/27 5 0x000000 0 0 0 63 19 Gi2/27 6 0x000000 0 0 0 63 19 Gi2/27 7 0x050680 2760 0 0 43 15 Switch Phyport Gi2/27 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 34 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/27 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/27 Non-Zero Software Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 21892236 RxIpv4HeaderGoodPackets : 491885 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/27 Software Status EEE: N/A Switch Phyport Gi2/30 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/30 0 0x0526F8 16 0 0 63 19 Gi2/30 1 0x000000 0 0 0 63 19 Gi2/30 2 0x000000 0 0 0 63 19 Gi2/30 3 0x000000 0 0 0 63 19 Gi2/30 4 0x000000 0 0 0 63 19 Gi2/30 5 0x000000 0 0 0 63 19 Gi2/30 6 0x000000 0 0 0 63 19 Gi2/30 7 0x052708 2760 0 0 43 15 Switch Phyport Gi2/30 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 37 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/30 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/30 Non-Zero Software Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 77791510 RxIpv4HeaderGoodPackets : 2211836 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/30 Software Status EEE: N/A Switch Phyport Gi2/29 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/29 0 0x051C20 16 0 0 63 19 Gi2/29 1 0x000000 0 0 0 63 19 Gi2/29 2 0x000000 0 0 0 63 19 Gi2/29 3 0x000000 0 0 0 63 19 Gi2/29 4 0x000000 0 0 0 63 19 Gi2/29 5 0x000000 0 0 0 63 19 Gi2/29 6 0x000000 0 0 0 63 19 Gi2/29 7 0x051C30 2760 0 0 43 15 Switch Phyport Gi2/29 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 36 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/29 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/29 Non-Zero Software Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 2423680 RxIpv4HeaderGoodPackets : 10 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/29 Software Status EEE: N/A Switch Phyport Gi2/32 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/32 0 0x053CD8 16 0 0 63 19 Gi2/32 1 0x000000 0 0 0 63 19 Gi2/32 2 0x000000 0 0 0 63 19 Gi2/32 3 0x000000 0 0 0 63 19 Gi2/32 4 0x000000 0 0 0 63 19 Gi2/32 5 0x000000 0 0 0 63 19 Gi2/32 6 0x000000 0 0 0 63 19 Gi2/32 7 0x053CE8 2760 0 0 43 15 Switch Phyport Gi2/32 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 39 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/32 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/32 Non-Zero Software Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 77918925 RxIpv4HeaderGoodPackets : 2260956 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/32 Software Status EEE: N/A Switch Phyport Gi2/31 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/31 0 0x053200 16 0 0 63 19 Gi2/31 1 0x000000 0 0 0 63 19 Gi2/31 2 0x000000 0 0 0 63 19 Gi2/31 3 0x000000 0 0 0 63 19 Gi2/31 4 0x000000 0 0 0 63 19 Gi2/31 5 0x000000 0 0 0 63 19 Gi2/31 6 0x000000 0 0 0 63 19 Gi2/31 7 0x053210 2760 0 0 43 15 Switch Phyport Gi2/31 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 38 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/31 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/31 Non-Zero Software Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 81182555 RxIpv4HeaderGoodPackets : 2262430 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/31 Software Status EEE: N/A Switch Phyport Gi2/34 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/34 0 0x055288 16 0 0 63 19 Gi2/34 1 0x000000 0 0 0 63 19 Gi2/34 2 0x000000 0 0 0 63 19 Gi2/34 3 0x000000 0 0 0 63 19 Gi2/34 4 0x000000 0 0 0 63 19 Gi2/34 5 0x000000 0 0 0 63 19 Gi2/34 6 0x000000 0 0 0 63 19 Gi2/34 7 0x055298 2760 0 0 43 15 Switch Phyport Gi2/34 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 41 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/34 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/34 Non-Zero Software Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 58562647 RxIpv4HeaderGoodPackets : 1551273 RxIpv6HeaderGoodPackets : 160 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/34 Software Status EEE: N/A Switch Phyport Gi2/33 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/33 0 0x0547B0 16 0 0 63 19 Gi2/33 1 0x000000 0 0 0 63 19 Gi2/33 2 0x000000 0 0 0 63 19 Gi2/33 3 0x000000 0 0 0 63 19 Gi2/33 4 0x000000 0 0 0 63 19 Gi2/33 5 0x000000 0 0 0 63 19 Gi2/33 6 0x000000 0 0 0 63 19 Gi2/33 7 0x0547C0 2760 0 0 43 15 Switch Phyport Gi2/33 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 40 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/33 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/33 Software Status EEE: N/A Switch Phyport Gi2/36 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/36 0 0x056838 16 0 0 63 19 Gi2/36 1 0x000000 0 0 0 63 19 Gi2/36 2 0x000000 0 0 0 63 19 Gi2/36 3 0x000000 0 0 0 63 19 Gi2/36 4 0x000000 0 0 0 63 19 Gi2/36 5 0x000000 0 0 0 63 19 Gi2/36 6 0x000000 0 0 0 63 19 Gi2/36 7 0x056848 2760 0 0 43 15 Switch Phyport Gi2/36 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 43 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/36 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/36 Non-Zero Software Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 128711361 RxIpv4HeaderGoodPackets : 3862787 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/36 Software Status EEE: N/A Switch Phyport Gi2/35 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/35 0 0x055D60 16 0 0 63 19 Gi2/35 1 0x000000 0 0 0 63 19 Gi2/35 2 0x000000 0 0 0 63 19 Gi2/35 3 0x000000 0 0 0 63 19 Gi2/35 4 0x000000 0 0 0 63 19 Gi2/35 5 0x000000 0 0 0 63 19 Gi2/35 6 0x000000 0 0 0 63 19 Gi2/35 7 0x055D70 2760 0 0 43 15 Switch Phyport Gi2/35 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 42 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/35 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/35 Non-Zero Software Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 57450406 RxIpv4HeaderGoodPackets : 1516826 RxIpv6HeaderGoodPackets : 161 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/35 Software Status EEE: N/A Switch Phyport Gi2/38 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/38 0 0x057E18 16 0 0 63 19 Gi2/38 1 0x000000 0 0 0 63 19 Gi2/38 2 0x000000 0 0 0 63 19 Gi2/38 3 0x000000 0 0 0 63 19 Gi2/38 4 0x000000 0 0 0 63 19 Gi2/38 5 0x000000 0 0 0 63 19 Gi2/38 6 0x000000 0 0 0 63 19 Gi2/38 7 0x057E28 2760 0 0 43 15 Switch Phyport Gi2/38 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2907 AggportId : 45 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/38 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/38 Non-Zero Software Statistics TxBytesTxQ0 : 142250 TxBytesTxQ7 : 153557753 RxIpv4HeaderGoodPackets : 4521487 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/38 Software Status EEE: N/A Switch Phyport Gi2/37 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/37 0 0x057340 16 0 0 63 19 Gi2/37 1 0x000000 0 0 0 63 19 Gi2/37 2 0x000000 0 0 0 63 19 Gi2/37 3 0x000000 0 0 0 63 19 Gi2/37 4 0x000000 0 0 0 63 19 Gi2/37 5 0x000000 0 0 0 63 19 Gi2/37 6 0x000000 0 0 0 63 19 Gi2/37 7 0x057350 2760 0 0 43 15 Switch Phyport Gi2/37 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2923 AggportId : 44 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/37 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/37 Non-Zero Software Statistics TxBytesTxQ0 : 136172 TxBytesTxQ7 : 4695495 RxIpv4HeaderGoodPackets : 2594 Switch Phyport Gi2/37 Software Status EEE: N/A Switch Phyport Gi2/40 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/40 0 0x0593C8 16 0 0 63 19 Gi2/40 1 0x000000 0 0 0 63 19 Gi2/40 2 0x000000 0 0 0 63 19 Gi2/40 3 0x000000 0 0 0 63 19 Gi2/40 4 0x000000 0 0 0 63 19 Gi2/40 5 0x000000 0 0 0 63 19 Gi2/40 6 0x000000 0 0 0 63 19 Gi2/40 7 0x0593D8 2760 0 0 43 15 Switch Phyport Gi2/40 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2923 AggportId : 47 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/40 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/40 Non-Zero Software Statistics TxBytesTxQ0 : 136172 TxBytesTxQ7 : 4689914 RxIpv4HeaderGoodPackets : 2549 Switch Phyport Gi2/40 Software Status EEE: N/A Switch Phyport Gi2/39 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/39 0 0x0588F0 16 0 0 63 19 Gi2/39 1 0x000000 0 0 0 63 19 Gi2/39 2 0x000000 0 0 0 63 19 Gi2/39 3 0x000000 0 0 0 63 19 Gi2/39 4 0x000000 0 0 0 63 19 Gi2/39 5 0x000000 0 0 0 63 19 Gi2/39 6 0x000000 0 0 0 63 19 Gi2/39 7 0x058900 2760 0 0 43 15 Switch Phyport Gi2/39 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2923 AggportId : 46 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/39 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/39 Non-Zero Software Statistics TxBytesTxQ0 : 136172 TxBytesTxQ7 : 4695179 RxIpv4HeaderGoodPackets : 2589 Switch Phyport Gi2/39 Software Status EEE: N/A Switch Phyport Gi2/42 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/42 0 0x05A978 16 0 0 63 19 Gi2/42 1 0x000000 0 0 0 63 19 Gi2/42 2 0x000000 0 0 0 63 19 Gi2/42 3 0x000000 0 0 0 63 19 Gi2/42 4 0x000000 0 0 0 63 19 Gi2/42 5 0x000000 0 0 0 63 19 Gi2/42 6 0x000000 0 0 0 63 19 Gi2/42 7 0x05A988 2760 0 0 43 15 Switch Phyport Gi2/42 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2923 AggportId : 49 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/42 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/42 Non-Zero Software Statistics TxBytesTxQ0 : 136172 TxBytesTxQ7 : 4694222 RxIpv4HeaderGoodPackets : 2588 Switch Phyport Gi2/42 Software Status EEE: N/A Switch Phyport Gi2/41 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/41 0 0x059EA0 16 0 0 63 19 Gi2/41 1 0x000000 0 0 0 63 19 Gi2/41 2 0x000000 0 0 0 63 19 Gi2/41 3 0x000000 0 0 0 63 19 Gi2/41 4 0x000000 0 0 0 63 19 Gi2/41 5 0x000000 0 0 0 63 19 Gi2/41 6 0x000000 0 0 0 63 19 Gi2/41 7 0x059EB0 2760 0 0 43 15 Switch Phyport Gi2/41 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 48 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/41 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/41 Software Status EEE: N/A Switch Phyport Gi2/44 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/44 0 0x05BF58 16 0 0 63 19 Gi2/44 1 0x000000 0 0 0 63 19 Gi2/44 2 0x000000 0 0 0 63 19 Gi2/44 3 0x000000 0 0 0 63 19 Gi2/44 4 0x000000 0 0 0 63 19 Gi2/44 5 0x000000 0 0 0 63 19 Gi2/44 6 0x000000 0 0 0 63 19 Gi2/44 7 0x05BF68 2760 0 0 43 15 Switch Phyport Gi2/44 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 51 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/44 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/44 Non-Zero Software Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 2409114 RxIpv4HeaderGoodPackets : 85 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/44 Software Status EEE: N/A Switch Phyport Gi2/43 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/43 0 0x05B480 16 0 0 63 19 Gi2/43 1 0x000000 0 0 0 63 19 Gi2/43 2 0x000000 0 0 0 63 19 Gi2/43 3 0x000000 0 0 0 63 19 Gi2/43 4 0x000000 0 0 0 63 19 Gi2/43 5 0x000000 0 0 0 63 19 Gi2/43 6 0x000000 0 0 0 63 19 Gi2/43 7 0x05B490 2760 0 0 43 15 Switch Phyport Gi2/43 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissToCpuOnly PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 11 AggportId : 50 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/43 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/43 Non-Zero Software Statistics TxBytesTxQ0 : 138658 TxBytesTxQ7 : 2433700 RxIpv4HeaderGoodPackets : 2485 RxIpv6HeaderGoodPackets : 5 RxDot1qTaggedPackets : 1540 TxDot1qTaggedPackets : 1177 Switch Phyport Gi2/43 Software Status EEE: N/A Switch Phyport Gi2/46 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/46 0 0x05D508 16 0 0 63 19 Gi2/46 1 0x000000 0 0 0 63 19 Gi2/46 2 0x000000 0 0 0 63 19 Gi2/46 3 0x000000 0 0 0 63 19 Gi2/46 4 0x000000 0 0 0 63 19 Gi2/46 5 0x000000 0 0 0 63 19 Gi2/46 6 0x000000 0 0 0 63 19 Gi2/46 7 0x05D518 2760 0 0 43 15 Switch Phyport Gi2/46 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2906 AggportId : 53 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/46 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/46 Non-Zero Software Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 1335614 RxIpv4HeaderGoodPackets : 9275 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/46 Software Status EEE: N/A Switch Phyport Gi2/45 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/45 0 0x05CA30 16 0 0 63 19 Gi2/45 1 0x000000 0 0 0 63 19 Gi2/45 2 0x000000 0 0 0 63 19 Gi2/45 3 0x000000 0 0 0 63 19 Gi2/45 4 0x000000 0 0 0 63 19 Gi2/45 5 0x000000 0 0 0 63 19 Gi2/45 6 0x000000 0 0 0 63 19 Gi2/45 7 0x05CA40 2760 0 0 43 15 Switch Phyport Gi2/45 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2906 AggportId : 52 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/45 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/45 Non-Zero Software Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 1342216 RxIpv4HeaderGoodPackets : 9348 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/45 Software Status EEE: N/A Switch Phyport Gi2/48 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/48 0 0x05EAB8 16 0 0 63 19 Gi2/48 1 0x000000 0 0 0 63 19 Gi2/48 2 0x000000 0 0 0 63 19 Gi2/48 3 0x000000 0 0 0 63 19 Gi2/48 4 0x000000 0 0 0 63 19 Gi2/48 5 0x000000 0 0 0 63 19 Gi2/48 6 0x000000 0 0 0 63 19 Gi2/48 7 0x05EAC8 2760 0 0 43 15 Switch Phyport Gi2/48 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 55 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/48 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/48 Software Status EEE: N/A Switch Phyport Gi2/47 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- Gi2/47 0 0x05DFE0 16 0 0 63 19 Gi2/47 1 0x000000 0 0 0 63 19 Gi2/47 2 0x000000 0 0 0 63 19 Gi2/47 3 0x000000 0 0 0 63 19 Gi2/47 4 0x000000 0 0 0 63 19 Gi2/47 5 0x000000 0 0 0 63 19 Gi2/47 6 0x000000 0 0 0 63 19 Gi2/47 7 0x05DFF0 2760 0 0 43 15 Switch Phyport Gi2/47 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : 802.1q / CFI 0 Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 2906 AggportId : 54 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport Gi2/47 BusyStatus : OFF, PauseStatus : OFF Switch Phyport Gi2/47 Non-Zero Software Statistics TxBytesTxQ0 : 138209 TxBytesTxQ7 : 2451144 RxIpv4HeaderGoodPackets : 26587 TxDot1qTaggedPackets : 239 Switch Phyport Gi2/47 Software Status EEE: N/A Switch Phyport 68 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 68 0 0x000000 0 0 0 63 19 68 1 0x000000 0 0 0 63 19 68 2 0x000000 0 0 0 63 19 68 3 0x000000 0 0 0 63 19 68 4 0x000000 0 0 0 63 19 68 5 0x000000 0 0 0 63 19 68 6 0x000000 0 0 0 63 19 68 7 0x000000 0 0 0 63 19 Switch Phyport 68 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 68 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 69 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 69 0 0x000000 0 0 0 63 19 69 1 0x000000 0 0 0 63 19 69 2 0x000000 0 0 0 63 19 69 3 0x000000 0 0 0 63 19 69 4 0x000000 0 0 0 63 19 69 5 0x000000 0 0 0 63 19 69 6 0x000000 0 0 0 63 19 69 7 0x000000 0 0 0 63 19 Switch Phyport 69 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 69 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 70 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 70 0 0x000000 0 0 0 63 19 70 1 0x000000 0 0 0 63 19 70 2 0x000000 0 0 0 63 19 70 3 0x000000 0 0 0 63 19 70 4 0x000000 0 0 0 63 19 70 5 0x000000 0 0 0 63 19 70 6 0x000000 0 0 0 63 19 70 7 0x000000 0 0 0 63 19 Switch Phyport 70 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 70 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 71 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 71 0 0x000000 0 0 0 63 19 71 1 0x000000 0 0 0 63 19 71 2 0x000000 0 0 0 63 19 71 3 0x000000 0 0 0 63 19 71 4 0x000000 0 0 0 63 19 71 5 0x000000 0 0 0 63 19 71 6 0x000000 0 0 0 63 19 71 7 0x000000 0 0 0 63 19 Switch Phyport 71 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 71 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 72 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 72 0 0x000000 0 0 0 63 19 72 1 0x000000 0 0 0 63 19 72 2 0x000000 0 0 0 63 19 72 3 0x000000 0 0 0 63 19 72 4 0x000000 0 0 0 63 19 72 5 0x000000 0 0 0 63 19 72 6 0x000000 0 0 0 63 19 72 7 0x000000 0 0 0 63 19 Switch Phyport 72 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 72 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 73 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 73 0 0x000000 0 0 0 63 19 73 1 0x000000 0 0 0 63 19 73 2 0x000000 0 0 0 63 19 73 3 0x000000 0 0 0 63 19 73 4 0x000000 0 0 0 63 19 73 5 0x000000 0 0 0 63 19 73 6 0x000000 0 0 0 63 19 73 7 0x000000 0 0 0 63 19 Switch Phyport 73 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 73 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 74 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 74 0 0x000000 0 0 0 63 19 74 1 0x000000 0 0 0 63 19 74 2 0x000000 0 0 0 63 19 74 3 0x000000 0 0 0 63 19 74 4 0x000000 0 0 0 63 19 74 5 0x000000 0 0 0 63 19 74 6 0x000000 0 0 0 63 19 74 7 0x000000 0 0 0 63 19 Switch Phyport 74 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 74 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 75 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 75 0 0x000000 0 0 0 63 19 75 1 0x000000 0 0 0 63 19 75 2 0x000000 0 0 0 63 19 75 3 0x000000 0 0 0 63 19 75 4 0x000000 0 0 0 63 19 75 5 0x000000 0 0 0 63 19 75 6 0x000000 0 0 0 63 19 75 7 0x000000 0 0 0 63 19 Switch Phyport 75 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 75 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 76 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 76 0 0x000000 0 0 0 63 19 76 1 0x000000 0 0 0 63 19 76 2 0x000000 0 0 0 63 19 76 3 0x000000 0 0 0 63 19 76 4 0x000000 0 0 0 63 19 76 5 0x000000 0 0 0 63 19 76 6 0x000000 0 0 0 63 19 76 7 0x000000 0 0 0 63 19 Switch Phyport 76 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 76 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 77 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 77 0 0x000000 0 0 0 63 19 77 1 0x000000 0 0 0 63 19 77 2 0x000000 0 0 0 63 19 77 3 0x000000 0 0 0 63 19 77 4 0x000000 0 0 0 63 19 77 5 0x000000 0 0 0 63 19 77 6 0x000000 0 0 0 63 19 77 7 0x000000 0 0 0 63 19 Switch Phyport 77 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 77 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 78 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 78 0 0x000000 0 0 0 63 19 78 1 0x000000 0 0 0 63 19 78 2 0x000000 0 0 0 63 19 78 3 0x000000 0 0 0 63 19 78 4 0x000000 0 0 0 63 19 78 5 0x000000 0 0 0 63 19 78 6 0x000000 0 0 0 63 19 78 7 0x000000 0 0 0 63 19 Switch Phyport 78 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 78 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 79 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 79 0 0x000000 0 0 0 63 19 79 1 0x000000 0 0 0 63 19 79 2 0x000000 0 0 0 63 19 79 3 0x000000 0 0 0 63 19 79 4 0x000000 0 0 0 63 19 79 5 0x000000 0 0 0 63 19 79 6 0x000000 0 0 0 63 19 79 7 0x000000 0 0 0 63 19 Switch Phyport 79 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 79 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 80 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 80 0 0x000000 0 0 0 63 19 80 1 0x000000 0 0 0 63 19 80 2 0x000000 0 0 0 63 19 80 3 0x000000 0 0 0 63 19 80 4 0x000000 0 0 0 63 19 80 5 0x000000 0 0 0 63 19 80 6 0x000000 0 0 0 63 19 80 7 0x000000 0 0 0 63 19 Switch Phyport 80 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 80 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 81 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 81 0 0x000000 0 0 0 63 19 81 1 0x000000 0 0 0 63 19 81 2 0x000000 0 0 0 63 19 81 3 0x000000 0 0 0 63 19 81 4 0x000000 0 0 0 63 19 81 5 0x000000 0 0 0 63 19 81 6 0x000000 0 0 0 63 19 81 7 0x000000 0 0 0 63 19 Switch Phyport 81 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 81 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 82 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 82 0 0x000000 0 0 0 63 19 82 1 0x000000 0 0 0 63 19 82 2 0x000000 0 0 0 63 19 82 3 0x000000 0 0 0 63 19 82 4 0x000000 0 0 0 63 19 82 5 0x000000 0 0 0 63 19 82 6 0x000000 0 0 0 63 19 82 7 0x000000 0 0 0 63 19 Switch Phyport 82 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 82 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 83 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 83 0 0x000000 0 0 0 63 19 83 1 0x000000 0 0 0 63 19 83 2 0x000000 0 0 0 63 19 83 3 0x000000 0 0 0 63 19 83 4 0x000000 0 0 0 63 19 83 5 0x000000 0 0 0 63 19 83 6 0x000000 0 0 0 63 19 83 7 0x000000 0 0 0 63 19 Switch Phyport 83 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 83 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 84 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 84 0 0x000000 0 0 0 63 19 84 1 0x000000 0 0 0 63 19 84 2 0x000000 0 0 0 63 19 84 3 0x000000 0 0 0 63 19 84 4 0x000000 0 0 0 63 19 84 5 0x000000 0 0 0 63 19 84 6 0x000000 0 0 0 63 19 84 7 0x000000 0 0 0 63 19 Switch Phyport 84 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 84 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 85 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 85 0 0x000000 0 0 0 63 19 85 1 0x000000 0 0 0 63 19 85 2 0x000000 0 0 0 63 19 85 3 0x000000 0 0 0 63 19 85 4 0x000000 0 0 0 63 19 85 5 0x000000 0 0 0 63 19 85 6 0x000000 0 0 0 63 19 85 7 0x000000 0 0 0 63 19 Switch Phyport 85 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 85 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 86 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 86 0 0x000000 0 0 0 63 19 86 1 0x000000 0 0 0 63 19 86 2 0x000000 0 0 0 63 19 86 3 0x000000 0 0 0 63 19 86 4 0x000000 0 0 0 63 19 86 5 0x000000 0 0 0 63 19 86 6 0x000000 0 0 0 63 19 86 7 0x000000 0 0 0 63 19 Switch Phyport 86 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 86 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 87 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 87 0 0x000000 0 0 0 63 19 87 1 0x000000 0 0 0 63 19 87 2 0x000000 0 0 0 63 19 87 3 0x000000 0 0 0 63 19 87 4 0x000000 0 0 0 63 19 87 5 0x000000 0 0 0 63 19 87 6 0x000000 0 0 0 63 19 87 7 0x000000 0 0 0 63 19 Switch Phyport 87 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 87 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 88 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 88 0 0x000000 0 0 0 63 19 88 1 0x000000 0 0 0 63 19 88 2 0x000000 0 0 0 63 19 88 3 0x000000 0 0 0 63 19 88 4 0x000000 0 0 0 63 19 88 5 0x000000 0 0 0 63 19 88 6 0x000000 0 0 0 63 19 88 7 0x000000 0 0 0 63 19 Switch Phyport 88 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 88 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 89 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 89 0 0x000000 0 0 0 63 19 89 1 0x000000 0 0 0 63 19 89 2 0x000000 0 0 0 63 19 89 3 0x000000 0 0 0 63 19 89 4 0x000000 0 0 0 63 19 89 5 0x000000 0 0 0 63 19 89 6 0x000000 0 0 0 63 19 89 7 0x000000 0 0 0 63 19 Switch Phyport 89 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 89 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 90 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 90 0 0x000000 0 0 0 63 19 90 1 0x000000 0 0 0 63 19 90 2 0x000000 0 0 0 63 19 90 3 0x000000 0 0 0 63 19 90 4 0x000000 0 0 0 63 19 90 5 0x000000 0 0 0 63 19 90 6 0x000000 0 0 0 63 19 90 7 0x000000 0 0 0 63 19 Switch Phyport 90 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 90 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 91 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 91 0 0x000000 0 0 0 63 19 91 1 0x000000 0 0 0 63 19 91 2 0x000000 0 0 0 63 19 91 3 0x000000 0 0 0 63 19 91 4 0x000000 0 0 0 63 19 91 5 0x000000 0 0 0 63 19 91 6 0x000000 0 0 0 63 19 91 7 0x000000 0 0 0 63 19 Switch Phyport 91 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 91 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 92 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 92 0 0x000000 0 0 0 63 19 92 1 0x000000 0 0 0 63 19 92 2 0x000000 0 0 0 63 19 92 3 0x000000 0 0 0 63 19 92 4 0x000000 0 0 0 63 19 92 5 0x000000 0 0 0 63 19 92 6 0x000000 0 0 0 63 19 92 7 0x000000 0 0 0 63 19 Switch Phyport 92 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 92 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 93 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 93 0 0x000000 0 0 0 63 19 93 1 0x000000 0 0 0 63 19 93 2 0x000000 0 0 0 63 19 93 3 0x000000 0 0 0 63 19 93 4 0x000000 0 0 0 63 19 93 5 0x000000 0 0 0 63 19 93 6 0x000000 0 0 0 63 19 93 7 0x000000 0 0 0 63 19 Switch Phyport 93 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 93 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 94 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 94 0 0x000000 0 0 0 63 19 94 1 0x000000 0 0 0 63 19 94 2 0x000000 0 0 0 63 19 94 3 0x000000 0 0 0 63 19 94 4 0x000000 0 0 0 63 19 94 5 0x000000 0 0 0 63 19 94 6 0x000000 0 0 0 63 19 94 7 0x000000 0 0 0 63 19 Switch Phyport 94 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 94 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 95 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 95 0 0x000000 0 0 0 63 19 95 1 0x000000 0 0 0 63 19 95 2 0x000000 0 0 0 63 19 95 3 0x000000 0 0 0 63 19 95 4 0x000000 0 0 0 63 19 95 5 0x000000 0 0 0 63 19 95 6 0x000000 0 0 0 63 19 95 7 0x000000 0 0 0 63 19 Switch Phyport 95 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 95 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 96 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 96 0 0x000000 0 0 0 63 19 96 1 0x000000 0 0 0 63 19 96 2 0x000000 0 0 0 63 19 96 3 0x000000 0 0 0 63 19 96 4 0x000000 0 0 0 63 19 96 5 0x000000 0 0 0 63 19 96 6 0x000000 0 0 0 63 19 96 7 0x000000 0 0 0 63 19 Switch Phyport 96 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 96 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 97 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 97 0 0x000000 0 0 0 63 19 97 1 0x000000 0 0 0 63 19 97 2 0x000000 0 0 0 63 19 97 3 0x000000 0 0 0 63 19 97 4 0x000000 0 0 0 63 19 97 5 0x000000 0 0 0 63 19 97 6 0x000000 0 0 0 63 19 97 7 0x000000 0 0 0 63 19 Switch Phyport 97 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 97 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 98 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 98 0 0x000000 0 0 0 63 19 98 1 0x000000 0 0 0 63 19 98 2 0x000000 0 0 0 63 19 98 3 0x000000 0 0 0 63 19 98 4 0x000000 0 0 0 63 19 98 5 0x000000 0 0 0 63 19 98 6 0x000000 0 0 0 63 19 98 7 0x000000 0 0 0 63 19 Switch Phyport 98 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 98 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 99 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 99 0 0x000000 0 0 0 63 19 99 1 0x000000 0 0 0 63 19 99 2 0x000000 0 0 0 63 19 99 3 0x000000 0 0 0 63 19 99 4 0x000000 0 0 0 63 19 99 5 0x000000 0 0 0 63 19 99 6 0x000000 0 0 0 63 19 99 7 0x000000 0 0 0 63 19 Switch Phyport 99 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 99 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 100 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 100 0 0x000000 0 0 0 63 19 100 1 0x000000 0 0 0 63 19 100 2 0x000000 0 0 0 63 19 100 3 0x000000 0 0 0 63 19 100 4 0x000000 0 0 0 63 19 100 5 0x000000 0 0 0 63 19 100 6 0x000000 0 0 0 63 19 100 7 0x000000 0 0 0 63 19 Switch Phyport 100 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 100 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 101 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 101 0 0x000000 0 0 0 63 19 101 1 0x000000 0 0 0 63 19 101 2 0x000000 0 0 0 63 19 101 3 0x000000 0 0 0 63 19 101 4 0x000000 0 0 0 63 19 101 5 0x000000 0 0 0 63 19 101 6 0x000000 0 0 0 63 19 101 7 0x000000 0 0 0 63 19 Switch Phyport 101 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 101 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 102 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 102 0 0x000000 0 0 0 63 19 102 1 0x000000 0 0 0 63 19 102 2 0x000000 0 0 0 63 19 102 3 0x000000 0 0 0 63 19 102 4 0x000000 0 0 0 63 19 102 5 0x000000 0 0 0 63 19 102 6 0x000000 0 0 0 63 19 102 7 0x000000 0 0 0 63 19 Switch Phyport 102 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 102 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 103 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 103 0 0x000000 0 0 0 63 19 103 1 0x000000 0 0 0 63 19 103 2 0x000000 0 0 0 63 19 103 3 0x000000 0 0 0 63 19 103 4 0x000000 0 0 0 63 19 103 5 0x000000 0 0 0 63 19 103 6 0x000000 0 0 0 63 19 103 7 0x000000 0 0 0 63 19 Switch Phyport 103 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 103 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 104 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 104 0 0x000000 0 0 0 63 19 104 1 0x000000 0 0 0 63 19 104 2 0x000000 0 0 0 63 19 104 3 0x000000 0 0 0 63 19 104 4 0x000000 0 0 0 63 19 104 5 0x000000 0 0 0 63 19 104 6 0x000000 0 0 0 63 19 104 7 0x000000 0 0 0 63 19 Switch Phyport 104 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 104 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 105 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 105 0 0x000000 0 0 0 63 19 105 1 0x000000 0 0 0 63 19 105 2 0x000000 0 0 0 63 19 105 3 0x000000 0 0 0 63 19 105 4 0x000000 0 0 0 63 19 105 5 0x000000 0 0 0 63 19 105 6 0x000000 0 0 0 63 19 105 7 0x000000 0 0 0 63 19 Switch Phyport 105 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 105 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 106 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 106 0 0x000000 0 0 0 63 19 106 1 0x000000 0 0 0 63 19 106 2 0x000000 0 0 0 63 19 106 3 0x000000 0 0 0 63 19 106 4 0x000000 0 0 0 63 19 106 5 0x000000 0 0 0 63 19 106 6 0x000000 0 0 0 63 19 106 7 0x000000 0 0 0 63 19 Switch Phyport 106 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 106 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 107 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 107 0 0x000000 0 0 0 63 19 107 1 0x000000 0 0 0 63 19 107 2 0x000000 0 0 0 63 19 107 3 0x000000 0 0 0 63 19 107 4 0x000000 0 0 0 63 19 107 5 0x000000 0 0 0 63 19 107 6 0x000000 0 0 0 63 19 107 7 0x000000 0 0 0 63 19 Switch Phyport 107 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 107 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 108 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 108 0 0x000000 0 0 0 63 19 108 1 0x000000 0 0 0 63 19 108 2 0x000000 0 0 0 63 19 108 3 0x000000 0 0 0 63 19 108 4 0x000000 0 0 0 63 19 108 5 0x000000 0 0 0 63 19 108 6 0x000000 0 0 0 63 19 108 7 0x000000 0 0 0 63 19 Switch Phyport 108 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 108 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 109 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 109 0 0x000000 0 0 0 63 19 109 1 0x000000 0 0 0 63 19 109 2 0x000000 0 0 0 63 19 109 3 0x000000 0 0 0 63 19 109 4 0x000000 0 0 0 63 19 109 5 0x000000 0 0 0 63 19 109 6 0x000000 0 0 0 63 19 109 7 0x000000 0 0 0 63 19 Switch Phyport 109 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 109 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 110 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 110 0 0x000000 0 0 0 63 19 110 1 0x000000 0 0 0 63 19 110 2 0x000000 0 0 0 63 19 110 3 0x000000 0 0 0 63 19 110 4 0x000000 0 0 0 63 19 110 5 0x000000 0 0 0 63 19 110 6 0x000000 0 0 0 63 19 110 7 0x000000 0 0 0 63 19 Switch Phyport 110 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 110 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 111 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 111 0 0x000000 0 0 0 63 19 111 1 0x000000 0 0 0 63 19 111 2 0x000000 0 0 0 63 19 111 3 0x000000 0 0 0 63 19 111 4 0x000000 0 0 0 63 19 111 5 0x000000 0 0 0 63 19 111 6 0x000000 0 0 0 63 19 111 7 0x000000 0 0 0 63 19 Switch Phyport 111 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 111 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 112 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 112 0 0x000000 0 0 0 63 19 112 1 0x000000 0 0 0 63 19 112 2 0x000000 0 0 0 63 19 112 3 0x000000 0 0 0 63 19 112 4 0x000000 0 0 0 63 19 112 5 0x000000 0 0 0 63 19 112 6 0x000000 0 0 0 63 19 112 7 0x000000 0 0 0 63 19 Switch Phyport 112 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 112 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 113 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 113 0 0x000000 0 0 0 63 19 113 1 0x000000 0 0 0 63 19 113 2 0x000000 0 0 0 63 19 113 3 0x000000 0 0 0 63 19 113 4 0x000000 0 0 0 63 19 113 5 0x000000 0 0 0 63 19 113 6 0x000000 0 0 0 63 19 113 7 0x000000 0 0 0 63 19 Switch Phyport 113 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 113 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 114 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 114 0 0x000000 0 0 0 63 19 114 1 0x000000 0 0 0 63 19 114 2 0x000000 0 0 0 63 19 114 3 0x000000 0 0 0 63 19 114 4 0x000000 0 0 0 63 19 114 5 0x000000 0 0 0 63 19 114 6 0x000000 0 0 0 63 19 114 7 0x000000 0 0 0 63 19 Switch Phyport 114 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 114 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 115 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 115 0 0x000000 0 0 0 63 19 115 1 0x000000 0 0 0 63 19 115 2 0x000000 0 0 0 63 19 115 3 0x000000 0 0 0 63 19 115 4 0x000000 0 0 0 63 19 115 5 0x000000 0 0 0 63 19 115 6 0x000000 0 0 0 63 19 115 7 0x000000 0 0 0 63 19 Switch Phyport 115 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 115 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 116 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 116 0 0x000000 0 0 0 63 19 116 1 0x000000 0 0 0 63 19 116 2 0x000000 0 0 0 63 19 116 3 0x000000 0 0 0 63 19 116 4 0x000000 0 0 0 63 19 116 5 0x000000 0 0 0 63 19 116 6 0x000000 0 0 0 63 19 116 7 0x000000 0 0 0 63 19 Switch Phyport 116 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 116 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 117 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 117 0 0x000000 0 0 0 63 19 117 1 0x000000 0 0 0 63 19 117 2 0x000000 0 0 0 63 19 117 3 0x000000 0 0 0 63 19 117 4 0x000000 0 0 0 63 19 117 5 0x000000 0 0 0 63 19 117 6 0x000000 0 0 0 63 19 117 7 0x000000 0 0 0 63 19 Switch Phyport 117 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 117 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 118 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 118 0 0x000000 0 0 0 63 19 118 1 0x000000 0 0 0 63 19 118 2 0x000000 0 0 0 63 19 118 3 0x000000 0 0 0 63 19 118 4 0x000000 0 0 0 63 19 118 5 0x000000 0 0 0 63 19 118 6 0x000000 0 0 0 63 19 118 7 0x000000 0 0 0 63 19 Switch Phyport 118 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 118 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 119 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 119 0 0x000000 0 0 0 63 19 119 1 0x000000 0 0 0 63 19 119 2 0x000000 0 0 0 63 19 119 3 0x000000 0 0 0 63 19 119 4 0x000000 0 0 0 63 19 119 5 0x000000 0 0 0 63 19 119 6 0x000000 0 0 0 63 19 119 7 0x000000 0 0 0 63 19 Switch Phyport 119 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 119 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 120 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 120 0 0x000000 0 0 0 63 19 120 1 0x000000 0 0 0 63 19 120 2 0x000000 0 0 0 63 19 120 3 0x000000 0 0 0 63 19 120 4 0x000000 0 0 0 63 19 120 5 0x000000 0 0 0 63 19 120 6 0x000000 0 0 0 63 19 120 7 0x000000 0 0 0 63 19 Switch Phyport 120 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 120 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 121 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 121 0 0x000000 0 0 0 63 19 121 1 0x000000 0 0 0 63 19 121 2 0x000000 0 0 0 63 19 121 3 0x000000 0 0 0 63 19 121 4 0x000000 0 0 0 63 19 121 5 0x000000 0 0 0 63 19 121 6 0x000000 0 0 0 63 19 121 7 0x000000 0 0 0 63 19 Switch Phyport 121 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 121 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 122 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 122 0 0x000000 0 0 0 63 19 122 1 0x000000 0 0 0 63 19 122 2 0x000000 0 0 0 63 19 122 3 0x000000 0 0 0 63 19 122 4 0x000000 0 0 0 63 19 122 5 0x000000 0 0 0 63 19 122 6 0x000000 0 0 0 63 19 122 7 0x000000 0 0 0 63 19 Switch Phyport 122 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 122 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 123 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 123 0 0x000000 0 0 0 63 19 123 1 0x000000 0 0 0 63 19 123 2 0x000000 0 0 0 63 19 123 3 0x000000 0 0 0 63 19 123 4 0x000000 0 0 0 63 19 123 5 0x000000 0 0 0 63 19 123 6 0x000000 0 0 0 63 19 123 7 0x000000 0 0 0 63 19 Switch Phyport 123 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 123 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 124 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 124 0 0x000000 0 0 0 63 19 124 1 0x000000 0 0 0 63 19 124 2 0x000000 0 0 0 63 19 124 3 0x000000 0 0 0 63 19 124 4 0x000000 0 0 0 63 19 124 5 0x000000 0 0 0 63 19 124 6 0x000000 0 0 0 63 19 124 7 0x000000 0 0 0 63 19 Switch Phyport 124 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 124 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 125 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 125 0 0x000000 0 0 0 63 19 125 1 0x000000 0 0 0 63 19 125 2 0x000000 0 0 0 63 19 125 3 0x000000 0 0 0 63 19 125 4 0x000000 0 0 0 63 19 125 5 0x000000 0 0 0 63 19 125 6 0x000000 0 0 0 63 19 125 7 0x000000 0 0 0 63 19 Switch Phyport 125 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 125 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 126 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 126 0 0x000000 0 0 0 63 19 126 1 0x000000 0 0 0 63 19 126 2 0x000000 0 0 0 63 19 126 3 0x000000 0 0 0 63 19 126 4 0x000000 0 0 0 63 19 126 5 0x000000 0 0 0 63 19 126 6 0x000000 0 0 0 63 19 126 7 0x000000 0 0 0 63 19 Switch Phyport 126 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 126 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 127 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 127 0 0x000000 0 0 0 63 19 127 1 0x000000 0 0 0 63 19 127 2 0x000000 0 0 0 63 19 127 3 0x000000 0 0 0 63 19 127 4 0x000000 0 0 0 63 19 127 5 0x000000 0 0 0 63 19 127 6 0x000000 0 0 0 63 19 127 7 0x000000 0 0 0 63 19 Switch Phyport 127 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 127 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 128 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 128 0 0x000000 0 0 0 63 19 128 1 0x000000 0 0 0 63 19 128 2 0x000000 0 0 0 63 19 128 3 0x000000 0 0 0 63 19 128 4 0x000000 0 0 0 63 19 128 5 0x000000 0 0 0 63 19 128 6 0x000000 0 0 0 63 19 128 7 0x000000 0 0 0 63 19 Switch Phyport 128 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 128 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 129 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 129 0 0x000000 0 0 0 63 19 129 1 0x000000 0 0 0 63 19 129 2 0x000000 0 0 0 63 19 129 3 0x000000 0 0 0 63 19 129 4 0x000000 0 0 0 63 19 129 5 0x000000 0 0 0 63 19 129 6 0x000000 0 0 0 63 19 129 7 0x000000 0 0 0 63 19 Switch Phyport 129 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 129 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 130 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 130 0 0x000000 0 0 0 63 19 130 1 0x000000 0 0 0 63 19 130 2 0x000000 0 0 0 63 19 130 3 0x000000 0 0 0 63 19 130 4 0x000000 0 0 0 63 19 130 5 0x000000 0 0 0 63 19 130 6 0x000000 0 0 0 63 19 130 7 0x000000 0 0 0 63 19 Switch Phyport 130 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 130 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 131 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 131 0 0x000000 0 0 0 63 19 131 1 0x000000 0 0 0 63 19 131 2 0x000000 0 0 0 63 19 131 3 0x000000 0 0 0 63 19 131 4 0x000000 0 0 0 63 19 131 5 0x000000 0 0 0 63 19 131 6 0x000000 0 0 0 63 19 131 7 0x000000 0 0 0 63 19 Switch Phyport 131 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 131 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 132 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 132 0 0x000000 0 0 0 63 19 132 1 0x000000 0 0 0 63 19 132 2 0x000000 0 0 0 63 19 132 3 0x000000 0 0 0 63 19 132 4 0x000000 0 0 0 63 19 132 5 0x000000 0 0 0 63 19 132 6 0x000000 0 0 0 63 19 132 7 0x000000 0 0 0 63 19 Switch Phyport 132 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 132 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 133 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 133 0 0x000000 0 0 0 63 19 133 1 0x000000 0 0 0 63 19 133 2 0x000000 0 0 0 63 19 133 3 0x000000 0 0 0 63 19 133 4 0x000000 0 0 0 63 19 133 5 0x000000 0 0 0 63 19 133 6 0x000000 0 0 0 63 19 133 7 0x000000 0 0 0 63 19 Switch Phyport 133 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 133 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 134 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 134 0 0x000000 0 0 0 63 19 134 1 0x000000 0 0 0 63 19 134 2 0x000000 0 0 0 63 19 134 3 0x000000 0 0 0 63 19 134 4 0x000000 0 0 0 63 19 134 5 0x000000 0 0 0 63 19 134 6 0x000000 0 0 0 63 19 134 7 0x000000 0 0 0 63 19 Switch Phyport 134 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 134 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 135 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 135 0 0x000000 0 0 0 63 19 135 1 0x000000 0 0 0 63 19 135 2 0x000000 0 0 0 63 19 135 3 0x000000 0 0 0 63 19 135 4 0x000000 0 0 0 63 19 135 5 0x000000 0 0 0 63 19 135 6 0x000000 0 0 0 63 19 135 7 0x000000 0 0 0 63 19 Switch Phyport 135 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 135 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 136 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 136 0 0x000000 0 0 0 63 19 136 1 0x000000 0 0 0 63 19 136 2 0x000000 0 0 0 63 19 136 3 0x000000 0 0 0 63 19 136 4 0x000000 0 0 0 63 19 136 5 0x000000 0 0 0 63 19 136 6 0x000000 0 0 0 63 19 136 7 0x000000 0 0 0 63 19 Switch Phyport 136 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 136 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 137 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 137 0 0x000000 0 0 0 63 19 137 1 0x000000 0 0 0 63 19 137 2 0x000000 0 0 0 63 19 137 3 0x000000 0 0 0 63 19 137 4 0x000000 0 0 0 63 19 137 5 0x000000 0 0 0 63 19 137 6 0x000000 0 0 0 63 19 137 7 0x000000 0 0 0 63 19 Switch Phyport 137 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 137 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 138 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 138 0 0x000000 0 0 0 63 19 138 1 0x000000 0 0 0 63 19 138 2 0x000000 0 0 0 63 19 138 3 0x000000 0 0 0 63 19 138 4 0x000000 0 0 0 63 19 138 5 0x000000 0 0 0 63 19 138 6 0x000000 0 0 0 63 19 138 7 0x000000 0 0 0 63 19 Switch Phyport 138 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 138 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 139 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 139 0 0x000000 0 0 0 63 19 139 1 0x000000 0 0 0 63 19 139 2 0x000000 0 0 0 63 19 139 3 0x000000 0 0 0 63 19 139 4 0x000000 0 0 0 63 19 139 5 0x000000 0 0 0 63 19 139 6 0x000000 0 0 0 63 19 139 7 0x000000 0 0 0 63 19 Switch Phyport 139 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 139 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 140 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 140 0 0x000000 0 0 0 63 19 140 1 0x000000 0 0 0 63 19 140 2 0x000000 0 0 0 63 19 140 3 0x000000 0 0 0 63 19 140 4 0x000000 0 0 0 63 19 140 5 0x000000 0 0 0 63 19 140 6 0x000000 0 0 0 63 19 140 7 0x000000 0 0 0 63 19 Switch Phyport 140 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 140 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 141 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 141 0 0x000000 0 0 0 63 19 141 1 0x000000 0 0 0 63 19 141 2 0x000000 0 0 0 63 19 141 3 0x000000 0 0 0 63 19 141 4 0x000000 0 0 0 63 19 141 5 0x000000 0 0 0 63 19 141 6 0x000000 0 0 0 63 19 141 7 0x000000 0 0 0 63 19 Switch Phyport 141 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 141 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 142 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 142 0 0x000000 0 0 0 63 19 142 1 0x000000 0 0 0 63 19 142 2 0x000000 0 0 0 63 19 142 3 0x000000 0 0 0 63 19 142 4 0x000000 0 0 0 63 19 142 5 0x000000 0 0 0 63 19 142 6 0x000000 0 0 0 63 19 142 7 0x000000 0 0 0 63 19 Switch Phyport 142 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 142 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 143 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 143 0 0x000000 0 0 0 63 19 143 1 0x000000 0 0 0 63 19 143 2 0x000000 0 0 0 63 19 143 3 0x000000 0 0 0 63 19 143 4 0x000000 0 0 0 63 19 143 5 0x000000 0 0 0 63 19 143 6 0x000000 0 0 0 63 19 143 7 0x000000 0 0 0 63 19 Switch Phyport 143 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 143 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 144 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 144 0 0x000000 0 0 0 63 19 144 1 0x000000 0 0 0 63 19 144 2 0x000000 0 0 0 63 19 144 3 0x000000 0 0 0 63 19 144 4 0x000000 0 0 0 63 19 144 5 0x000000 0 0 0 63 19 144 6 0x000000 0 0 0 63 19 144 7 0x000000 0 0 0 63 19 Switch Phyport 144 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 144 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 145 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 145 0 0x000000 0 0 0 63 19 145 1 0x000000 0 0 0 63 19 145 2 0x000000 0 0 0 63 19 145 3 0x000000 0 0 0 63 19 145 4 0x000000 0 0 0 63 19 145 5 0x000000 0 0 0 63 19 145 6 0x000000 0 0 0 63 19 145 7 0x000000 0 0 0 63 19 Switch Phyport 145 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 145 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 146 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 146 0 0x000000 0 0 0 63 19 146 1 0x000000 0 0 0 63 19 146 2 0x000000 0 0 0 63 19 146 3 0x000000 0 0 0 63 19 146 4 0x000000 0 0 0 63 19 146 5 0x000000 0 0 0 63 19 146 6 0x000000 0 0 0 63 19 146 7 0x000000 0 0 0 63 19 Switch Phyport 146 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 146 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 147 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 147 0 0x000000 0 0 0 63 19 147 1 0x000000 0 0 0 63 19 147 2 0x000000 0 0 0 63 19 147 3 0x000000 0 0 0 63 19 147 4 0x000000 0 0 0 63 19 147 5 0x000000 0 0 0 63 19 147 6 0x000000 0 0 0 63 19 147 7 0x000000 0 0 0 63 19 Switch Phyport 147 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 147 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 148 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 148 0 0x000000 0 0 0 63 19 148 1 0x000000 0 0 0 63 19 148 2 0x000000 0 0 0 63 19 148 3 0x000000 0 0 0 63 19 148 4 0x000000 0 0 0 63 19 148 5 0x000000 0 0 0 63 19 148 6 0x000000 0 0 0 63 19 148 7 0x000000 0 0 0 63 19 Switch Phyport 148 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 148 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 149 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 149 0 0x000000 0 0 0 63 19 149 1 0x000000 0 0 0 63 19 149 2 0x000000 0 0 0 63 19 149 3 0x000000 0 0 0 63 19 149 4 0x000000 0 0 0 63 19 149 5 0x000000 0 0 0 63 19 149 6 0x000000 0 0 0 63 19 149 7 0x000000 0 0 0 63 19 Switch Phyport 149 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 149 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 150 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 150 0 0x000000 0 0 0 63 19 150 1 0x000000 0 0 0 63 19 150 2 0x000000 0 0 0 63 19 150 3 0x000000 0 0 0 63 19 150 4 0x000000 0 0 0 63 19 150 5 0x000000 0 0 0 63 19 150 6 0x000000 0 0 0 63 19 150 7 0x000000 0 0 0 63 19 Switch Phyport 150 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 150 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 151 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 151 0 0x000000 0 0 0 63 19 151 1 0x000000 0 0 0 63 19 151 2 0x000000 0 0 0 63 19 151 3 0x000000 0 0 0 63 19 151 4 0x000000 0 0 0 63 19 151 5 0x000000 0 0 0 63 19 151 6 0x000000 0 0 0 63 19 151 7 0x000000 0 0 0 63 19 Switch Phyport 151 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 151 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 152 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 152 0 0x000000 0 0 0 63 19 152 1 0x000000 0 0 0 63 19 152 2 0x000000 0 0 0 63 19 152 3 0x000000 0 0 0 63 19 152 4 0x000000 0 0 0 63 19 152 5 0x000000 0 0 0 63 19 152 6 0x000000 0 0 0 63 19 152 7 0x000000 0 0 0 63 19 Switch Phyport 152 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 152 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 153 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 153 0 0x000000 0 0 0 63 19 153 1 0x000000 0 0 0 63 19 153 2 0x000000 0 0 0 63 19 153 3 0x000000 0 0 0 63 19 153 4 0x000000 0 0 0 63 19 153 5 0x000000 0 0 0 63 19 153 6 0x000000 0 0 0 63 19 153 7 0x000000 0 0 0 63 19 Switch Phyport 153 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 153 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 154 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 154 0 0x000000 0 0 0 63 19 154 1 0x000000 0 0 0 63 19 154 2 0x000000 0 0 0 63 19 154 3 0x000000 0 0 0 63 19 154 4 0x000000 0 0 0 63 19 154 5 0x000000 0 0 0 63 19 154 6 0x000000 0 0 0 63 19 154 7 0x000000 0 0 0 63 19 Switch Phyport 154 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 154 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 155 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 155 0 0x000000 0 0 0 63 19 155 1 0x000000 0 0 0 63 19 155 2 0x000000 0 0 0 63 19 155 3 0x000000 0 0 0 63 19 155 4 0x000000 0 0 0 63 19 155 5 0x000000 0 0 0 63 19 155 6 0x000000 0 0 0 63 19 155 7 0x000000 0 0 0 63 19 Switch Phyport 155 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 155 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 156 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 156 0 0x000000 0 0 0 63 19 156 1 0x000000 0 0 0 63 19 156 2 0x000000 0 0 0 63 19 156 3 0x000000 0 0 0 63 19 156 4 0x000000 0 0 0 63 19 156 5 0x000000 0 0 0 63 19 156 6 0x000000 0 0 0 63 19 156 7 0x000000 0 0 0 63 19 Switch Phyport 156 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 156 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 157 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 157 0 0x000000 0 0 0 63 19 157 1 0x000000 0 0 0 63 19 157 2 0x000000 0 0 0 63 19 157 3 0x000000 0 0 0 63 19 157 4 0x000000 0 0 0 63 19 157 5 0x000000 0 0 0 63 19 157 6 0x000000 0 0 0 63 19 157 7 0x000000 0 0 0 63 19 Switch Phyport 157 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 157 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 158 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 158 0 0x000000 0 0 0 63 19 158 1 0x000000 0 0 0 63 19 158 2 0x000000 0 0 0 63 19 158 3 0x000000 0 0 0 63 19 158 4 0x000000 0 0 0 63 19 158 5 0x000000 0 0 0 63 19 158 6 0x000000 0 0 0 63 19 158 7 0x000000 0 0 0 63 19 Switch Phyport 158 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 158 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 159 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 159 0 0x000000 0 0 0 63 19 159 1 0x000000 0 0 0 63 19 159 2 0x000000 0 0 0 63 19 159 3 0x000000 0 0 0 63 19 159 4 0x000000 0 0 0 63 19 159 5 0x000000 0 0 0 63 19 159 6 0x000000 0 0 0 63 19 159 7 0x000000 0 0 0 63 19 Switch Phyport 159 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 159 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 160 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 160 0 0x000000 0 0 0 63 19 160 1 0x000000 0 0 0 63 19 160 2 0x000000 0 0 0 63 19 160 3 0x000000 0 0 0 63 19 160 4 0x000000 0 0 0 63 19 160 5 0x000000 0 0 0 63 19 160 6 0x000000 0 0 0 63 19 160 7 0x000000 0 0 0 63 19 Switch Phyport 160 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 160 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 161 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 161 0 0x000000 0 0 0 63 19 161 1 0x000000 0 0 0 63 19 161 2 0x000000 0 0 0 63 19 161 3 0x000000 0 0 0 63 19 161 4 0x000000 0 0 0 63 19 161 5 0x000000 0 0 0 63 19 161 6 0x000000 0 0 0 63 19 161 7 0x000000 0 0 0 63 19 Switch Phyport 161 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 161 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 162 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 162 0 0x000000 0 0 0 63 19 162 1 0x000000 0 0 0 63 19 162 2 0x000000 0 0 0 63 19 162 3 0x000000 0 0 0 63 19 162 4 0x000000 0 0 0 63 19 162 5 0x000000 0 0 0 63 19 162 6 0x000000 0 0 0 63 19 162 7 0x000000 0 0 0 63 19 Switch Phyport 162 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 162 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 163 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 163 0 0x000000 0 0 0 63 19 163 1 0x000000 0 0 0 63 19 163 2 0x000000 0 0 0 63 19 163 3 0x000000 0 0 0 63 19 163 4 0x000000 0 0 0 63 19 163 5 0x000000 0 0 0 63 19 163 6 0x000000 0 0 0 63 19 163 7 0x000000 0 0 0 63 19 Switch Phyport 163 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 163 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 164 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 164 0 0x000000 0 0 0 63 19 164 1 0x000000 0 0 0 63 19 164 2 0x000000 0 0 0 63 19 164 3 0x000000 0 0 0 63 19 164 4 0x000000 0 0 0 63 19 164 5 0x000000 0 0 0 63 19 164 6 0x000000 0 0 0 63 19 164 7 0x000000 0 0 0 63 19 Switch Phyport 164 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 164 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 165 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 165 0 0x000000 0 0 0 63 19 165 1 0x000000 0 0 0 63 19 165 2 0x000000 0 0 0 63 19 165 3 0x000000 0 0 0 63 19 165 4 0x000000 0 0 0 63 19 165 5 0x000000 0 0 0 63 19 165 6 0x000000 0 0 0 63 19 165 7 0x000000 0 0 0 63 19 Switch Phyport 165 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 165 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 166 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 166 0 0x000000 0 0 0 63 19 166 1 0x000000 0 0 0 63 19 166 2 0x000000 0 0 0 63 19 166 3 0x000000 0 0 0 63 19 166 4 0x000000 0 0 0 63 19 166 5 0x000000 0 0 0 63 19 166 6 0x000000 0 0 0 63 19 166 7 0x000000 0 0 0 63 19 Switch Phyport 166 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 166 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 167 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 167 0 0x000000 0 0 0 63 19 167 1 0x000000 0 0 0 63 19 167 2 0x000000 0 0 0 63 19 167 3 0x000000 0 0 0 63 19 167 4 0x000000 0 0 0 63 19 167 5 0x000000 0 0 0 63 19 167 6 0x000000 0 0 0 63 19 167 7 0x000000 0 0 0 63 19 Switch Phyport 167 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 167 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 168 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 168 0 0x000000 0 0 0 63 19 168 1 0x000000 0 0 0 63 19 168 2 0x000000 0 0 0 63 19 168 3 0x000000 0 0 0 63 19 168 4 0x000000 0 0 0 63 19 168 5 0x000000 0 0 0 63 19 168 6 0x000000 0 0 0 63 19 168 7 0x000000 0 0 0 63 19 Switch Phyport 168 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 168 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 169 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 169 0 0x000000 0 0 0 63 19 169 1 0x000000 0 0 0 63 19 169 2 0x000000 0 0 0 63 19 169 3 0x000000 0 0 0 63 19 169 4 0x000000 0 0 0 63 19 169 5 0x000000 0 0 0 63 19 169 6 0x000000 0 0 0 63 19 169 7 0x000000 0 0 0 63 19 Switch Phyport 169 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 169 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 170 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 170 0 0x000000 0 0 0 63 19 170 1 0x000000 0 0 0 63 19 170 2 0x000000 0 0 0 63 19 170 3 0x000000 0 0 0 63 19 170 4 0x000000 0 0 0 63 19 170 5 0x000000 0 0 0 63 19 170 6 0x000000 0 0 0 63 19 170 7 0x000000 0 0 0 63 19 Switch Phyport 170 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 170 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 171 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 171 0 0x000000 0 0 0 63 19 171 1 0x000000 0 0 0 63 19 171 2 0x000000 0 0 0 63 19 171 3 0x000000 0 0 0 63 19 171 4 0x000000 0 0 0 63 19 171 5 0x000000 0 0 0 63 19 171 6 0x000000 0 0 0 63 19 171 7 0x000000 0 0 0 63 19 Switch Phyport 171 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 171 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 172 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 172 0 0x000000 0 0 0 63 19 172 1 0x000000 0 0 0 63 19 172 2 0x000000 0 0 0 63 19 172 3 0x000000 0 0 0 63 19 172 4 0x000000 0 0 0 63 19 172 5 0x000000 0 0 0 63 19 172 6 0x000000 0 0 0 63 19 172 7 0x000000 0 0 0 63 19 Switch Phyport 172 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 172 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 173 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 173 0 0x000000 0 0 0 63 19 173 1 0x000000 0 0 0 63 19 173 2 0x000000 0 0 0 63 19 173 3 0x000000 0 0 0 63 19 173 4 0x000000 0 0 0 63 19 173 5 0x000000 0 0 0 63 19 173 6 0x000000 0 0 0 63 19 173 7 0x000000 0 0 0 63 19 Switch Phyport 173 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 173 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 174 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 174 0 0x000000 0 0 0 63 19 174 1 0x000000 0 0 0 63 19 174 2 0x000000 0 0 0 63 19 174 3 0x000000 0 0 0 63 19 174 4 0x000000 0 0 0 63 19 174 5 0x000000 0 0 0 63 19 174 6 0x000000 0 0 0 63 19 174 7 0x000000 0 0 0 63 19 Switch Phyport 174 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 174 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 175 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 175 0 0x000000 0 0 0 63 19 175 1 0x000000 0 0 0 63 19 175 2 0x000000 0 0 0 63 19 175 3 0x000000 0 0 0 63 19 175 4 0x000000 0 0 0 63 19 175 5 0x000000 0 0 0 63 19 175 6 0x000000 0 0 0 63 19 175 7 0x000000 0 0 0 63 19 Switch Phyport 175 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 175 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 176 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 176 0 0x000000 0 0 0 63 19 176 1 0x000000 0 0 0 63 19 176 2 0x000000 0 0 0 63 19 176 3 0x000000 0 0 0 63 19 176 4 0x000000 0 0 0 63 19 176 5 0x000000 0 0 0 63 19 176 6 0x000000 0 0 0 63 19 176 7 0x000000 0 0 0 63 19 Switch Phyport 176 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 176 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 177 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 177 0 0x000000 0 0 0 63 19 177 1 0x000000 0 0 0 63 19 177 2 0x000000 0 0 0 63 19 177 3 0x000000 0 0 0 63 19 177 4 0x000000 0 0 0 63 19 177 5 0x000000 0 0 0 63 19 177 6 0x000000 0 0 0 63 19 177 7 0x000000 0 0 0 63 19 Switch Phyport 177 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 177 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 178 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 178 0 0x000000 0 0 0 63 19 178 1 0x000000 0 0 0 63 19 178 2 0x000000 0 0 0 63 19 178 3 0x000000 0 0 0 63 19 178 4 0x000000 0 0 0 63 19 178 5 0x000000 0 0 0 63 19 178 6 0x000000 0 0 0 63 19 178 7 0x000000 0 0 0 63 19 Switch Phyport 178 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 178 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 179 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 179 0 0x000000 0 0 0 63 19 179 1 0x000000 0 0 0 63 19 179 2 0x000000 0 0 0 63 19 179 3 0x000000 0 0 0 63 19 179 4 0x000000 0 0 0 63 19 179 5 0x000000 0 0 0 63 19 179 6 0x000000 0 0 0 63 19 179 7 0x000000 0 0 0 63 19 Switch Phyport 179 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 179 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 180 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 180 0 0x000000 0 0 0 63 19 180 1 0x000000 0 0 0 63 19 180 2 0x000000 0 0 0 63 19 180 3 0x000000 0 0 0 63 19 180 4 0x000000 0 0 0 63 19 180 5 0x000000 0 0 0 63 19 180 6 0x000000 0 0 0 63 19 180 7 0x000000 0 0 0 63 19 Switch Phyport 180 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 180 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 181 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 181 0 0x000000 0 0 0 63 19 181 1 0x000000 0 0 0 63 19 181 2 0x000000 0 0 0 63 19 181 3 0x000000 0 0 0 63 19 181 4 0x000000 0 0 0 63 19 181 5 0x000000 0 0 0 63 19 181 6 0x000000 0 0 0 63 19 181 7 0x000000 0 0 0 63 19 Switch Phyport 181 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 181 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 182 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 182 0 0x000000 0 0 0 63 19 182 1 0x000000 0 0 0 63 19 182 2 0x000000 0 0 0 63 19 182 3 0x000000 0 0 0 63 19 182 4 0x000000 0 0 0 63 19 182 5 0x000000 0 0 0 63 19 182 6 0x000000 0 0 0 63 19 182 7 0x000000 0 0 0 63 19 Switch Phyport 182 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 182 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 183 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 183 0 0x000000 0 0 0 63 19 183 1 0x000000 0 0 0 63 19 183 2 0x000000 0 0 0 63 19 183 3 0x000000 0 0 0 63 19 183 4 0x000000 0 0 0 63 19 183 5 0x000000 0 0 0 63 19 183 6 0x000000 0 0 0 63 19 183 7 0x000000 0 0 0 63 19 Switch Phyport 183 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 183 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 184 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 184 0 0x000000 0 0 0 63 19 184 1 0x000000 0 0 0 63 19 184 2 0x000000 0 0 0 63 19 184 3 0x000000 0 0 0 63 19 184 4 0x000000 0 0 0 63 19 184 5 0x000000 0 0 0 63 19 184 6 0x000000 0 0 0 63 19 184 7 0x000000 0 0 0 63 19 Switch Phyport 184 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 184 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 185 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 185 0 0x000000 0 0 0 63 19 185 1 0x000000 0 0 0 63 19 185 2 0x000000 0 0 0 63 19 185 3 0x000000 0 0 0 63 19 185 4 0x000000 0 0 0 63 19 185 5 0x000000 0 0 0 63 19 185 6 0x000000 0 0 0 63 19 185 7 0x000000 0 0 0 63 19 Switch Phyport 185 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 185 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 186 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 186 0 0x000000 0 0 0 63 19 186 1 0x000000 0 0 0 63 19 186 2 0x000000 0 0 0 63 19 186 3 0x000000 0 0 0 63 19 186 4 0x000000 0 0 0 63 19 186 5 0x000000 0 0 0 63 19 186 6 0x000000 0 0 0 63 19 186 7 0x000000 0 0 0 63 19 Switch Phyport 186 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 186 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 187 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 187 0 0x000000 0 0 0 63 19 187 1 0x000000 0 0 0 63 19 187 2 0x000000 0 0 0 63 19 187 3 0x000000 0 0 0 63 19 187 4 0x000000 0 0 0 63 19 187 5 0x000000 0 0 0 63 19 187 6 0x000000 0 0 0 63 19 187 7 0x000000 0 0 0 63 19 Switch Phyport 187 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 187 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 188 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 188 0 0x000000 0 0 0 63 19 188 1 0x000000 0 0 0 63 19 188 2 0x000000 0 0 0 63 19 188 3 0x000000 0 0 0 63 19 188 4 0x000000 0 0 0 63 19 188 5 0x000000 0 0 0 63 19 188 6 0x000000 0 0 0 63 19 188 7 0x000000 0 0 0 63 19 Switch Phyport 188 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 188 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 189 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 189 0 0x000000 0 0 0 63 19 189 1 0x000000 0 0 0 63 19 189 2 0x000000 0 0 0 63 19 189 3 0x000000 0 0 0 63 19 189 4 0x000000 0 0 0 63 19 189 5 0x000000 0 0 0 63 19 189 6 0x000000 0 0 0 63 19 189 7 0x000000 0 0 0 63 19 Switch Phyport 189 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 189 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 190 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 190 0 0x000000 0 0 0 63 19 190 1 0x000000 0 0 0 63 19 190 2 0x000000 0 0 0 63 19 190 3 0x000000 0 0 0 63 19 190 4 0x000000 0 0 0 63 19 190 5 0x000000 0 0 0 63 19 190 6 0x000000 0 0 0 63 19 190 7 0x000000 0 0 0 63 19 Switch Phyport 190 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 190 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 191 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 191 0 0x000000 0 0 0 63 19 191 1 0x000000 0 0 0 63 19 191 2 0x000000 0 0 0 63 19 191 3 0x000000 0 0 0 63 19 191 4 0x000000 0 0 0 63 19 191 5 0x000000 0 0 0 63 19 191 6 0x000000 0 0 0 63 19 191 7 0x000000 0 0 0 63 19 Switch Phyport 191 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 191 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 192 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 192 0 0x000000 0 0 0 63 19 192 1 0x000000 0 0 0 63 19 192 2 0x000000 0 0 0 63 19 192 3 0x000000 0 0 0 63 19 192 4 0x000000 0 0 0 63 19 192 5 0x000000 0 0 0 63 19 192 6 0x000000 0 0 0 63 19 192 7 0x000000 0 0 0 63 19 Switch Phyport 192 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 192 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 193 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 193 0 0x000000 0 0 0 63 19 193 1 0x000000 0 0 0 63 19 193 2 0x000000 0 0 0 63 19 193 3 0x000000 0 0 0 63 19 193 4 0x000000 0 0 0 63 19 193 5 0x000000 0 0 0 63 19 193 6 0x000000 0 0 0 63 19 193 7 0x000000 0 0 0 63 19 Switch Phyport 193 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 193 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 194 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 194 0 0x000000 0 0 0 63 19 194 1 0x000000 0 0 0 63 19 194 2 0x000000 0 0 0 63 19 194 3 0x000000 0 0 0 63 19 194 4 0x000000 0 0 0 63 19 194 5 0x000000 0 0 0 63 19 194 6 0x000000 0 0 0 63 19 194 7 0x000000 0 0 0 63 19 Switch Phyport 194 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 194 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 195 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 195 0 0x000000 0 0 0 63 19 195 1 0x000000 0 0 0 63 19 195 2 0x000000 0 0 0 63 19 195 3 0x000000 0 0 0 63 19 195 4 0x000000 0 0 0 63 19 195 5 0x000000 0 0 0 63 19 195 6 0x000000 0 0 0 63 19 195 7 0x000000 0 0 0 63 19 Switch Phyport 195 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 195 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 196 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 196 0 0x000000 0 0 0 63 19 196 1 0x000000 0 0 0 63 19 196 2 0x000000 0 0 0 63 19 196 3 0x000000 0 0 0 63 19 196 4 0x000000 0 0 0 63 19 196 5 0x000000 0 0 0 63 19 196 6 0x000000 0 0 0 63 19 196 7 0x000000 0 0 0 63 19 Switch Phyport 196 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 196 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 197 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 197 0 0x000000 0 0 0 63 19 197 1 0x000000 0 0 0 63 19 197 2 0x000000 0 0 0 63 19 197 3 0x000000 0 0 0 63 19 197 4 0x000000 0 0 0 63 19 197 5 0x000000 0 0 0 63 19 197 6 0x000000 0 0 0 63 19 197 7 0x000000 0 0 0 63 19 Switch Phyport 197 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 197 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 198 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 198 0 0x000000 0 0 0 63 19 198 1 0x000000 0 0 0 63 19 198 2 0x000000 0 0 0 63 19 198 3 0x000000 0 0 0 63 19 198 4 0x000000 0 0 0 63 19 198 5 0x000000 0 0 0 63 19 198 6 0x000000 0 0 0 63 19 198 7 0x000000 0 0 0 63 19 Switch Phyport 198 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 198 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 199 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 199 0 0x000000 0 0 0 63 19 199 1 0x000000 0 0 0 63 19 199 2 0x000000 0 0 0 63 19 199 3 0x000000 0 0 0 63 19 199 4 0x000000 0 0 0 63 19 199 5 0x000000 0 0 0 63 19 199 6 0x000000 0 0 0 63 19 199 7 0x000000 0 0 0 63 19 Switch Phyport 199 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 199 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 200 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 200 0 0x000000 0 0 0 63 19 200 1 0x000000 0 0 0 63 19 200 2 0x000000 0 0 0 63 19 200 3 0x000000 0 0 0 63 19 200 4 0x000000 0 0 0 63 19 200 5 0x000000 0 0 0 63 19 200 6 0x000000 0 0 0 63 19 200 7 0x000000 0 0 0 63 19 Switch Phyport 200 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 200 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 201 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 201 0 0x000000 0 0 0 63 19 201 1 0x000000 0 0 0 63 19 201 2 0x000000 0 0 0 63 19 201 3 0x000000 0 0 0 63 19 201 4 0x000000 0 0 0 63 19 201 5 0x000000 0 0 0 63 19 201 6 0x000000 0 0 0 63 19 201 7 0x000000 0 0 0 63 19 Switch Phyport 201 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 201 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 202 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 202 0 0x000000 0 0 0 63 19 202 1 0x000000 0 0 0 63 19 202 2 0x000000 0 0 0 63 19 202 3 0x000000 0 0 0 63 19 202 4 0x000000 0 0 0 63 19 202 5 0x000000 0 0 0 63 19 202 6 0x000000 0 0 0 63 19 202 7 0x000000 0 0 0 63 19 Switch Phyport 202 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 202 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 203 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 203 0 0x000000 0 0 0 63 19 203 1 0x000000 0 0 0 63 19 203 2 0x000000 0 0 0 63 19 203 3 0x000000 0 0 0 63 19 203 4 0x000000 0 0 0 63 19 203 5 0x000000 0 0 0 63 19 203 6 0x000000 0 0 0 63 19 203 7 0x000000 0 0 0 63 19 Switch Phyport 203 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 203 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 204 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 204 0 0x000000 0 0 0 63 19 204 1 0x000000 0 0 0 63 19 204 2 0x000000 0 0 0 63 19 204 3 0x000000 0 0 0 63 19 204 4 0x000000 0 0 0 63 19 204 5 0x000000 0 0 0 63 19 204 6 0x000000 0 0 0 63 19 204 7 0x000000 0 0 0 63 19 Switch Phyport 204 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 204 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 205 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 205 0 0x000000 0 0 0 63 19 205 1 0x000000 0 0 0 63 19 205 2 0x000000 0 0 0 63 19 205 3 0x000000 0 0 0 63 19 205 4 0x000000 0 0 0 63 19 205 5 0x000000 0 0 0 63 19 205 6 0x000000 0 0 0 63 19 205 7 0x000000 0 0 0 63 19 Switch Phyport 205 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 205 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 206 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 206 0 0x000000 0 0 0 63 19 206 1 0x000000 0 0 0 63 19 206 2 0x000000 0 0 0 63 19 206 3 0x000000 0 0 0 63 19 206 4 0x000000 0 0 0 63 19 206 5 0x000000 0 0 0 63 19 206 6 0x000000 0 0 0 63 19 206 7 0x000000 0 0 0 63 19 Switch Phyport 206 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 206 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 207 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 207 0 0x000000 0 0 0 63 19 207 1 0x000000 0 0 0 63 19 207 2 0x000000 0 0 0 63 19 207 3 0x000000 0 0 0 63 19 207 4 0x000000 0 0 0 63 19 207 5 0x000000 0 0 0 63 19 207 6 0x000000 0 0 0 63 19 207 7 0x000000 0 0 0 63 19 Switch Phyport 207 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 207 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 208 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 208 0 0x000000 0 0 0 63 19 208 1 0x000000 0 0 0 63 19 208 2 0x000000 0 0 0 63 19 208 3 0x000000 0 0 0 63 19 208 4 0x000000 0 0 0 63 19 208 5 0x000000 0 0 0 63 19 208 6 0x000000 0 0 0 63 19 208 7 0x000000 0 0 0 63 19 Switch Phyport 208 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 208 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 209 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 209 0 0x000000 0 0 0 63 19 209 1 0x000000 0 0 0 63 19 209 2 0x000000 0 0 0 63 19 209 3 0x000000 0 0 0 63 19 209 4 0x000000 0 0 0 63 19 209 5 0x000000 0 0 0 63 19 209 6 0x000000 0 0 0 63 19 209 7 0x000000 0 0 0 63 19 Switch Phyport 209 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 209 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 210 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 210 0 0x000000 0 0 0 63 19 210 1 0x000000 0 0 0 63 19 210 2 0x000000 0 0 0 63 19 210 3 0x000000 0 0 0 63 19 210 4 0x000000 0 0 0 63 19 210 5 0x000000 0 0 0 63 19 210 6 0x000000 0 0 0 63 19 210 7 0x000000 0 0 0 63 19 Switch Phyport 210 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 210 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 211 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 211 0 0x000000 0 0 0 63 19 211 1 0x000000 0 0 0 63 19 211 2 0x000000 0 0 0 63 19 211 3 0x000000 0 0 0 63 19 211 4 0x000000 0 0 0 63 19 211 5 0x000000 0 0 0 63 19 211 6 0x000000 0 0 0 63 19 211 7 0x000000 0 0 0 63 19 Switch Phyport 211 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 211 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 212 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 212 0 0x000000 0 0 0 63 19 212 1 0x000000 0 0 0 63 19 212 2 0x000000 0 0 0 63 19 212 3 0x000000 0 0 0 63 19 212 4 0x000000 0 0 0 63 19 212 5 0x000000 0 0 0 63 19 212 6 0x000000 0 0 0 63 19 212 7 0x000000 0 0 0 63 19 Switch Phyport 212 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 212 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 213 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 213 0 0x000000 0 0 0 63 19 213 1 0x000000 0 0 0 63 19 213 2 0x000000 0 0 0 63 19 213 3 0x000000 0 0 0 63 19 213 4 0x000000 0 0 0 63 19 213 5 0x000000 0 0 0 63 19 213 6 0x000000 0 0 0 63 19 213 7 0x000000 0 0 0 63 19 Switch Phyport 213 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 213 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 214 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 214 0 0x000000 0 0 0 63 19 214 1 0x000000 0 0 0 63 19 214 2 0x000000 0 0 0 63 19 214 3 0x000000 0 0 0 63 19 214 4 0x000000 0 0 0 63 19 214 5 0x000000 0 0 0 63 19 214 6 0x000000 0 0 0 63 19 214 7 0x000000 0 0 0 63 19 Switch Phyport 214 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 214 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 215 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 215 0 0x000000 0 0 0 63 19 215 1 0x000000 0 0 0 63 19 215 2 0x000000 0 0 0 63 19 215 3 0x000000 0 0 0 63 19 215 4 0x000000 0 0 0 63 19 215 5 0x000000 0 0 0 63 19 215 6 0x000000 0 0 0 63 19 215 7 0x000000 0 0 0 63 19 Switch Phyport 215 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 215 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 216 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 216 0 0x000000 0 0 0 63 19 216 1 0x000000 0 0 0 63 19 216 2 0x000000 0 0 0 63 19 216 3 0x000000 0 0 0 63 19 216 4 0x000000 0 0 0 63 19 216 5 0x000000 0 0 0 63 19 216 6 0x000000 0 0 0 63 19 216 7 0x000000 0 0 0 63 19 Switch Phyport 216 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 216 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 217 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 217 0 0x000000 0 0 0 63 19 217 1 0x000000 0 0 0 63 19 217 2 0x000000 0 0 0 63 19 217 3 0x000000 0 0 0 63 19 217 4 0x000000 0 0 0 63 19 217 5 0x000000 0 0 0 63 19 217 6 0x000000 0 0 0 63 19 217 7 0x000000 0 0 0 63 19 Switch Phyport 217 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 217 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 218 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 218 0 0x000000 0 0 0 63 19 218 1 0x000000 0 0 0 63 19 218 2 0x000000 0 0 0 63 19 218 3 0x000000 0 0 0 63 19 218 4 0x000000 0 0 0 63 19 218 5 0x000000 0 0 0 63 19 218 6 0x000000 0 0 0 63 19 218 7 0x000000 0 0 0 63 19 Switch Phyport 218 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 218 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 219 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 219 0 0x000000 0 0 0 63 19 219 1 0x000000 0 0 0 63 19 219 2 0x000000 0 0 0 63 19 219 3 0x000000 0 0 0 63 19 219 4 0x000000 0 0 0 63 19 219 5 0x000000 0 0 0 63 19 219 6 0x000000 0 0 0 63 19 219 7 0x000000 0 0 0 63 19 Switch Phyport 219 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 219 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 220 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 220 0 0x000000 0 0 0 63 19 220 1 0x000000 0 0 0 63 19 220 2 0x000000 0 0 0 63 19 220 3 0x000000 0 0 0 63 19 220 4 0x000000 0 0 0 63 19 220 5 0x000000 0 0 0 63 19 220 6 0x000000 0 0 0 63 19 220 7 0x000000 0 0 0 63 19 Switch Phyport 220 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 220 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 221 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 221 0 0x000000 0 0 0 63 19 221 1 0x000000 0 0 0 63 19 221 2 0x000000 0 0 0 63 19 221 3 0x000000 0 0 0 63 19 221 4 0x000000 0 0 0 63 19 221 5 0x000000 0 0 0 63 19 221 6 0x000000 0 0 0 63 19 221 7 0x000000 0 0 0 63 19 Switch Phyport 221 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 221 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 222 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 222 0 0x000000 0 0 0 63 19 222 1 0x000000 0 0 0 63 19 222 2 0x000000 0 0 0 63 19 222 3 0x000000 0 0 0 63 19 222 4 0x000000 0 0 0 63 19 222 5 0x000000 0 0 0 63 19 222 6 0x000000 0 0 0 63 19 222 7 0x000000 0 0 0 63 19 Switch Phyport 222 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 222 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 223 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 223 0 0x000000 0 0 0 63 19 223 1 0x000000 0 0 0 63 19 223 2 0x000000 0 0 0 63 19 223 3 0x000000 0 0 0 63 19 223 4 0x000000 0 0 0 63 19 223 5 0x000000 0 0 0 63 19 223 6 0x000000 0 0 0 63 19 223 7 0x000000 0 0 0 63 19 Switch Phyport 223 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 223 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 224 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 224 0 0x000000 0 0 0 63 19 224 1 0x000000 0 0 0 63 19 224 2 0x000000 0 0 0 63 19 224 3 0x000000 0 0 0 63 19 224 4 0x000000 0 0 0 63 19 224 5 0x000000 0 0 0 63 19 224 6 0x000000 0 0 0 63 19 224 7 0x000000 0 0 0 63 19 Switch Phyport 224 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 224 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 225 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 225 0 0x000000 0 0 0 63 19 225 1 0x000000 0 0 0 63 19 225 2 0x000000 0 0 0 63 19 225 3 0x000000 0 0 0 63 19 225 4 0x000000 0 0 0 63 19 225 5 0x000000 0 0 0 63 19 225 6 0x000000 0 0 0 63 19 225 7 0x000000 0 0 0 63 19 Switch Phyport 225 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 225 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 226 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 226 0 0x000000 0 0 0 63 19 226 1 0x000000 0 0 0 63 19 226 2 0x000000 0 0 0 63 19 226 3 0x000000 0 0 0 63 19 226 4 0x000000 0 0 0 63 19 226 5 0x000000 0 0 0 63 19 226 6 0x000000 0 0 0 63 19 226 7 0x000000 0 0 0 63 19 Switch Phyport 226 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 226 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 227 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 227 0 0x000000 0 0 0 63 19 227 1 0x000000 0 0 0 63 19 227 2 0x000000 0 0 0 63 19 227 3 0x000000 0 0 0 63 19 227 4 0x000000 0 0 0 63 19 227 5 0x000000 0 0 0 63 19 227 6 0x000000 0 0 0 63 19 227 7 0x000000 0 0 0 63 19 Switch Phyport 227 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 227 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 228 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 228 0 0x000000 0 0 0 63 19 228 1 0x000000 0 0 0 63 19 228 2 0x000000 0 0 0 63 19 228 3 0x000000 0 0 0 63 19 228 4 0x000000 0 0 0 63 19 228 5 0x000000 0 0 0 63 19 228 6 0x000000 0 0 0 63 19 228 7 0x000000 0 0 0 63 19 Switch Phyport 228 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 228 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 229 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 229 0 0x000000 0 0 0 63 19 229 1 0x000000 0 0 0 63 19 229 2 0x000000 0 0 0 63 19 229 3 0x000000 0 0 0 63 19 229 4 0x000000 0 0 0 63 19 229 5 0x000000 0 0 0 63 19 229 6 0x000000 0 0 0 63 19 229 7 0x000000 0 0 0 63 19 Switch Phyport 229 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 229 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 230 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 230 0 0x000000 0 0 0 63 19 230 1 0x000000 0 0 0 63 19 230 2 0x000000 0 0 0 63 19 230 3 0x000000 0 0 0 63 19 230 4 0x000000 0 0 0 63 19 230 5 0x000000 0 0 0 63 19 230 6 0x000000 0 0 0 63 19 230 7 0x000000 0 0 0 63 19 Switch Phyport 230 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 230 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 231 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 231 0 0x000000 0 0 0 63 19 231 1 0x000000 0 0 0 63 19 231 2 0x000000 0 0 0 63 19 231 3 0x000000 0 0 0 63 19 231 4 0x000000 0 0 0 63 19 231 5 0x000000 0 0 0 63 19 231 6 0x000000 0 0 0 63 19 231 7 0x000000 0 0 0 63 19 Switch Phyport 231 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 231 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 232 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 232 0 0x000000 0 0 0 63 19 232 1 0x000000 0 0 0 63 19 232 2 0x000000 0 0 0 63 19 232 3 0x000000 0 0 0 63 19 232 4 0x000000 0 0 0 63 19 232 5 0x000000 0 0 0 63 19 232 6 0x000000 0 0 0 63 19 232 7 0x000000 0 0 0 63 19 Switch Phyport 232 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 232 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 233 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 233 0 0x000000 0 0 0 63 19 233 1 0x000000 0 0 0 63 19 233 2 0x000000 0 0 0 63 19 233 3 0x000000 0 0 0 63 19 233 4 0x000000 0 0 0 63 19 233 5 0x000000 0 0 0 63 19 233 6 0x000000 0 0 0 63 19 233 7 0x000000 0 0 0 63 19 Switch Phyport 233 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 233 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 234 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 234 0 0x000000 0 0 0 63 19 234 1 0x000000 0 0 0 63 19 234 2 0x000000 0 0 0 63 19 234 3 0x000000 0 0 0 63 19 234 4 0x000000 0 0 0 63 19 234 5 0x000000 0 0 0 63 19 234 6 0x000000 0 0 0 63 19 234 7 0x000000 0 0 0 63 19 Switch Phyport 234 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 234 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 235 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 235 0 0x000000 0 0 0 63 19 235 1 0x000000 0 0 0 63 19 235 2 0x000000 0 0 0 63 19 235 3 0x000000 0 0 0 63 19 235 4 0x000000 0 0 0 63 19 235 5 0x000000 0 0 0 63 19 235 6 0x000000 0 0 0 63 19 235 7 0x000000 0 0 0 63 19 Switch Phyport 235 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 235 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 236 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 236 0 0x000000 0 0 0 63 19 236 1 0x000000 0 0 0 63 19 236 2 0x000000 0 0 0 63 19 236 3 0x000000 0 0 0 63 19 236 4 0x000000 0 0 0 63 19 236 5 0x000000 0 0 0 63 19 236 6 0x000000 0 0 0 63 19 236 7 0x000000 0 0 0 63 19 Switch Phyport 236 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 236 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 237 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 237 0 0x000000 0 0 0 63 19 237 1 0x000000 0 0 0 63 19 237 2 0x000000 0 0 0 63 19 237 3 0x000000 0 0 0 63 19 237 4 0x000000 0 0 0 63 19 237 5 0x000000 0 0 0 63 19 237 6 0x000000 0 0 0 63 19 237 7 0x000000 0 0 0 63 19 Switch Phyport 237 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 237 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 238 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 238 0 0x000000 0 0 0 63 19 238 1 0x000000 0 0 0 63 19 238 2 0x000000 0 0 0 63 19 238 3 0x000000 0 0 0 63 19 238 4 0x000000 0 0 0 63 19 238 5 0x000000 0 0 0 63 19 238 6 0x000000 0 0 0 63 19 238 7 0x000000 0 0 0 63 19 Switch Phyport 238 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 238 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 239 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 239 0 0x000000 0 0 0 63 19 239 1 0x000000 0 0 0 63 19 239 2 0x000000 0 0 0 63 19 239 3 0x000000 0 0 0 63 19 239 4 0x000000 0 0 0 63 19 239 5 0x000000 0 0 0 63 19 239 6 0x000000 0 0 0 63 19 239 7 0x000000 0 0 0 63 19 Switch Phyport 239 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 239 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 240 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 240 0 0x000000 0 0 0 63 19 240 1 0x000000 0 0 0 63 19 240 2 0x000000 0 0 0 63 19 240 3 0x000000 0 0 0 63 19 240 4 0x000000 0 0 0 63 19 240 5 0x000000 0 0 0 63 19 240 6 0x000000 0 0 0 63 19 240 7 0x000000 0 0 0 63 19 Switch Phyport 240 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 240 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 241 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 241 0 0x000000 0 0 0 63 19 241 1 0x000000 0 0 0 63 19 241 2 0x000000 0 0 0 63 19 241 3 0x000000 0 0 0 63 19 241 4 0x000000 0 0 0 63 19 241 5 0x000000 0 0 0 63 19 241 6 0x000000 0 0 0 63 19 241 7 0x000000 0 0 0 63 19 Switch Phyport 241 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 241 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 242 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 242 0 0x000000 0 0 0 63 19 242 1 0x000000 0 0 0 63 19 242 2 0x000000 0 0 0 63 19 242 3 0x000000 0 0 0 63 19 242 4 0x000000 0 0 0 63 19 242 5 0x000000 0 0 0 63 19 242 6 0x000000 0 0 0 63 19 242 7 0x000000 0 0 0 63 19 Switch Phyport 242 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 242 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 243 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 243 0 0x000000 0 0 0 63 19 243 1 0x000000 0 0 0 63 19 243 2 0x000000 0 0 0 63 19 243 3 0x000000 0 0 0 63 19 243 4 0x000000 0 0 0 63 19 243 5 0x000000 0 0 0 63 19 243 6 0x000000 0 0 0 63 19 243 7 0x000000 0 0 0 63 19 Switch Phyport 243 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 243 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 244 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 244 0 0x000000 0 0 0 63 19 244 1 0x000000 0 0 0 63 19 244 2 0x000000 0 0 0 63 19 244 3 0x000000 0 0 0 63 19 244 4 0x000000 0 0 0 63 19 244 5 0x000000 0 0 0 63 19 244 6 0x000000 0 0 0 63 19 244 7 0x000000 0 0 0 63 19 Switch Phyport 244 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 244 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 245 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 245 0 0x000000 0 0 0 63 19 245 1 0x000000 0 0 0 63 19 245 2 0x000000 0 0 0 63 19 245 3 0x000000 0 0 0 63 19 245 4 0x000000 0 0 0 63 19 245 5 0x000000 0 0 0 63 19 245 6 0x000000 0 0 0 63 19 245 7 0x000000 0 0 0 63 19 Switch Phyport 245 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 245 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 246 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 246 0 0x000000 0 0 0 63 19 246 1 0x000000 0 0 0 63 19 246 2 0x000000 0 0 0 63 19 246 3 0x000000 0 0 0 63 19 246 4 0x000000 0 0 0 63 19 246 5 0x000000 0 0 0 63 19 246 6 0x000000 0 0 0 63 19 246 7 0x000000 0 0 0 63 19 Switch Phyport 246 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 246 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 247 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 247 0 0x000000 0 0 0 63 19 247 1 0x000000 0 0 0 63 19 247 2 0x000000 0 0 0 63 19 247 3 0x000000 0 0 0 63 19 247 4 0x000000 0 0 0 63 19 247 5 0x000000 0 0 0 63 19 247 6 0x000000 0 0 0 63 19 247 7 0x000000 0 0 0 63 19 Switch Phyport 247 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 247 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 248 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 248 0 0x000000 0 0 0 63 19 248 1 0x000000 0 0 0 63 19 248 2 0x000000 0 0 0 63 19 248 3 0x000000 0 0 0 63 19 248 4 0x000000 0 0 0 63 19 248 5 0x000000 0 0 0 63 19 248 6 0x000000 0 0 0 63 19 248 7 0x000000 0 0 0 63 19 Switch Phyport 248 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 248 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 249 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 249 0 0x000000 0 0 0 63 19 249 1 0x000000 0 0 0 63 19 249 2 0x000000 0 0 0 63 19 249 3 0x000000 0 0 0 63 19 249 4 0x000000 0 0 0 63 19 249 5 0x000000 0 0 0 63 19 249 6 0x000000 0 0 0 63 19 249 7 0x000000 0 0 0 63 19 Switch Phyport 249 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 249 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 250 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 250 0 0x000000 0 0 0 63 19 250 1 0x000000 0 0 0 63 19 250 2 0x000000 0 0 0 63 19 250 3 0x000000 0 0 0 63 19 250 4 0x000000 0 0 0 63 19 250 5 0x000000 0 0 0 63 19 250 6 0x000000 0 0 0 63 19 250 7 0x000000 0 0 0 63 19 Switch Phyport 250 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 250 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 251 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 251 0 0x000000 0 0 0 63 19 251 1 0x000000 0 0 0 63 19 251 2 0x000000 0 0 0 63 19 251 3 0x000000 0 0 0 63 19 251 4 0x000000 0 0 0 63 19 251 5 0x000000 0 0 0 63 19 251 6 0x000000 0 0 0 63 19 251 7 0x000000 0 0 0 63 19 Switch Phyport 251 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 251 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 252 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 252 0 0x000000 0 0 0 63 19 252 1 0x000000 0 0 0 63 19 252 2 0x000000 0 0 0 63 19 252 3 0x000000 0 0 0 63 19 252 4 0x000000 0 0 0 63 19 252 5 0x000000 0 0 0 63 19 252 6 0x000000 0 0 0 63 19 252 7 0x000000 0 0 0 63 19 Switch Phyport 252 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 252 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 253 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 253 0 0x000000 0 0 0 63 19 253 1 0x000000 0 0 0 63 19 253 2 0x000000 0 0 0 63 19 253 3 0x000000 0 0 0 63 19 253 4 0x000000 0 0 0 63 19 253 5 0x000000 0 0 0 63 19 253 6 0x000000 0 0 0 63 19 253 7 0x000000 0 0 0 63 19 Switch Phyport 253 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 253 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 254 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 254 0 0x000000 0 0 0 63 19 254 1 0x000000 0 0 0 63 19 254 2 0x000000 0 0 0 63 19 254 3 0x000000 0 0 0 63 19 254 4 0x000000 0 0 0 63 19 254 5 0x000000 0 0 0 63 19 254 6 0x000000 0 0 0 63 19 254 7 0x000000 0 0 0 63 19 Switch Phyport 254 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 254 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 255 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 255 0 0x000000 0 0 0 63 19 255 1 0x000000 0 0 0 63 19 255 2 0x000000 0 0 0 63 19 255 3 0x000000 0 0 0 63 19 255 4 0x000000 0 0 0 63 19 255 5 0x000000 0 0 0 63 19 255 6 0x000000 0 0 0 63 19 255 7 0x000000 0 0 0 63 19 Switch Phyport 255 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 255 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 256 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 256 0 0x000000 0 0 0 63 19 256 1 0x000000 0 0 0 63 19 256 2 0x000000 0 0 0 63 19 256 3 0x000000 0 0 0 63 19 256 4 0x000000 0 0 0 63 19 256 5 0x000000 0 0 0 63 19 256 6 0x000000 0 0 0 63 19 256 7 0x000000 0 0 0 63 19 Switch Phyport 256 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 256 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 257 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 257 0 0x000000 0 0 0 63 19 257 1 0x000000 0 0 0 63 19 257 2 0x000000 0 0 0 63 19 257 3 0x000000 0 0 0 63 19 257 4 0x000000 0 0 0 63 19 257 5 0x000000 0 0 0 63 19 257 6 0x000000 0 0 0 63 19 257 7 0x000000 0 0 0 63 19 Switch Phyport 257 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 257 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 258 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 258 0 0x000000 0 0 0 63 19 258 1 0x000000 0 0 0 63 19 258 2 0x000000 0 0 0 63 19 258 3 0x000000 0 0 0 63 19 258 4 0x000000 0 0 0 63 19 258 5 0x000000 0 0 0 63 19 258 6 0x000000 0 0 0 63 19 258 7 0x000000 0 0 0 63 19 Switch Phyport 258 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 258 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 259 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 259 0 0x000000 0 0 0 63 19 259 1 0x000000 0 0 0 63 19 259 2 0x000000 0 0 0 63 19 259 3 0x000000 0 0 0 63 19 259 4 0x000000 0 0 0 63 19 259 5 0x000000 0 0 0 63 19 259 6 0x000000 0 0 0 63 19 259 7 0x000000 0 0 0 63 19 Switch Phyport 259 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 259 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 260 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 260 0 0x000000 0 0 0 63 19 260 1 0x000000 0 0 0 63 19 260 2 0x000000 0 0 0 63 19 260 3 0x000000 0 0 0 63 19 260 4 0x000000 0 0 0 63 19 260 5 0x000000 0 0 0 63 19 260 6 0x000000 0 0 0 63 19 260 7 0x000000 0 0 0 63 19 Switch Phyport 260 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 260 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 261 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 261 0 0x000000 0 0 0 63 19 261 1 0x000000 0 0 0 63 19 261 2 0x000000 0 0 0 63 19 261 3 0x000000 0 0 0 63 19 261 4 0x000000 0 0 0 63 19 261 5 0x000000 0 0 0 63 19 261 6 0x000000 0 0 0 63 19 261 7 0x000000 0 0 0 63 19 Switch Phyport 261 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 261 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 262 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 262 0 0x000000 0 0 0 63 19 262 1 0x000000 0 0 0 63 19 262 2 0x000000 0 0 0 63 19 262 3 0x000000 0 0 0 63 19 262 4 0x000000 0 0 0 63 19 262 5 0x000000 0 0 0 63 19 262 6 0x000000 0 0 0 63 19 262 7 0x000000 0 0 0 63 19 Switch Phyport 262 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 262 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 263 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 263 0 0x000000 0 0 0 63 19 263 1 0x000000 0 0 0 63 19 263 2 0x000000 0 0 0 63 19 263 3 0x000000 0 0 0 63 19 263 4 0x000000 0 0 0 63 19 263 5 0x000000 0 0 0 63 19 263 6 0x000000 0 0 0 63 19 263 7 0x000000 0 0 0 63 19 Switch Phyport 263 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 263 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 264 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 264 0 0x000000 0 0 0 63 19 264 1 0x000000 0 0 0 63 19 264 2 0x000000 0 0 0 63 19 264 3 0x000000 0 0 0 63 19 264 4 0x000000 0 0 0 63 19 264 5 0x000000 0 0 0 63 19 264 6 0x000000 0 0 0 63 19 264 7 0x000000 0 0 0 63 19 Switch Phyport 264 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 264 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 265 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 265 0 0x000000 0 0 0 63 19 265 1 0x000000 0 0 0 63 19 265 2 0x000000 0 0 0 63 19 265 3 0x000000 0 0 0 63 19 265 4 0x000000 0 0 0 63 19 265 5 0x000000 0 0 0 63 19 265 6 0x000000 0 0 0 63 19 265 7 0x000000 0 0 0 63 19 Switch Phyport 265 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 265 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 266 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 266 0 0x000000 0 0 0 63 19 266 1 0x000000 0 0 0 63 19 266 2 0x000000 0 0 0 63 19 266 3 0x000000 0 0 0 63 19 266 4 0x000000 0 0 0 63 19 266 5 0x000000 0 0 0 63 19 266 6 0x000000 0 0 0 63 19 266 7 0x000000 0 0 0 63 19 Switch Phyport 266 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 266 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 267 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 267 0 0x000000 0 0 0 63 19 267 1 0x000000 0 0 0 63 19 267 2 0x000000 0 0 0 63 19 267 3 0x000000 0 0 0 63 19 267 4 0x000000 0 0 0 63 19 267 5 0x000000 0 0 0 63 19 267 6 0x000000 0 0 0 63 19 267 7 0x000000 0 0 0 63 19 Switch Phyport 267 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 267 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 268 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 268 0 0x000000 0 0 0 63 19 268 1 0x000000 0 0 0 63 19 268 2 0x000000 0 0 0 63 19 268 3 0x000000 0 0 0 63 19 268 4 0x000000 0 0 0 63 19 268 5 0x000000 0 0 0 63 19 268 6 0x000000 0 0 0 63 19 268 7 0x000000 0 0 0 63 19 Switch Phyport 268 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 268 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 269 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 269 0 0x000000 0 0 0 63 19 269 1 0x000000 0 0 0 63 19 269 2 0x000000 0 0 0 63 19 269 3 0x000000 0 0 0 63 19 269 4 0x000000 0 0 0 63 19 269 5 0x000000 0 0 0 63 19 269 6 0x000000 0 0 0 63 19 269 7 0x000000 0 0 0 63 19 Switch Phyport 269 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 269 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 270 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 270 0 0x000000 0 0 0 63 19 270 1 0x000000 0 0 0 63 19 270 2 0x000000 0 0 0 63 19 270 3 0x000000 0 0 0 63 19 270 4 0x000000 0 0 0 63 19 270 5 0x000000 0 0 0 63 19 270 6 0x000000 0 0 0 63 19 270 7 0x000000 0 0 0 63 19 Switch Phyport 270 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 270 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 271 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 271 0 0x000000 0 0 0 63 19 271 1 0x000000 0 0 0 63 19 271 2 0x000000 0 0 0 63 19 271 3 0x000000 0 0 0 63 19 271 4 0x000000 0 0 0 63 19 271 5 0x000000 0 0 0 63 19 271 6 0x000000 0 0 0 63 19 271 7 0x000000 0 0 0 63 19 Switch Phyport 271 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 271 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 272 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 272 0 0x000000 0 0 0 63 19 272 1 0x000000 0 0 0 63 19 272 2 0x000000 0 0 0 63 19 272 3 0x000000 0 0 0 63 19 272 4 0x000000 0 0 0 63 19 272 5 0x000000 0 0 0 63 19 272 6 0x000000 0 0 0 63 19 272 7 0x000000 0 0 0 63 19 Switch Phyport 272 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 272 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 273 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 273 0 0x000000 0 0 0 63 19 273 1 0x000000 0 0 0 63 19 273 2 0x000000 0 0 0 63 19 273 3 0x000000 0 0 0 63 19 273 4 0x000000 0 0 0 63 19 273 5 0x000000 0 0 0 63 19 273 6 0x000000 0 0 0 63 19 273 7 0x000000 0 0 0 63 19 Switch Phyport 273 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 273 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 274 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 274 0 0x000000 0 0 0 63 19 274 1 0x000000 0 0 0 63 19 274 2 0x000000 0 0 0 63 19 274 3 0x000000 0 0 0 63 19 274 4 0x000000 0 0 0 63 19 274 5 0x000000 0 0 0 63 19 274 6 0x000000 0 0 0 63 19 274 7 0x000000 0 0 0 63 19 Switch Phyport 274 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 274 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 275 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 275 0 0x000000 0 0 0 63 19 275 1 0x000000 0 0 0 63 19 275 2 0x000000 0 0 0 63 19 275 3 0x000000 0 0 0 63 19 275 4 0x000000 0 0 0 63 19 275 5 0x000000 0 0 0 63 19 275 6 0x000000 0 0 0 63 19 275 7 0x000000 0 0 0 63 19 Switch Phyport 275 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 275 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 276 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 276 0 0x000000 0 0 0 63 19 276 1 0x000000 0 0 0 63 19 276 2 0x000000 0 0 0 63 19 276 3 0x000000 0 0 0 63 19 276 4 0x000000 0 0 0 63 19 276 5 0x000000 0 0 0 63 19 276 6 0x000000 0 0 0 63 19 276 7 0x000000 0 0 0 63 19 Switch Phyport 276 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 276 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 277 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 277 0 0x000000 0 0 0 63 19 277 1 0x000000 0 0 0 63 19 277 2 0x000000 0 0 0 63 19 277 3 0x000000 0 0 0 63 19 277 4 0x000000 0 0 0 63 19 277 5 0x000000 0 0 0 63 19 277 6 0x000000 0 0 0 63 19 277 7 0x000000 0 0 0 63 19 Switch Phyport 277 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 277 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 278 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 278 0 0x000000 0 0 0 63 19 278 1 0x000000 0 0 0 63 19 278 2 0x000000 0 0 0 63 19 278 3 0x000000 0 0 0 63 19 278 4 0x000000 0 0 0 63 19 278 5 0x000000 0 0 0 63 19 278 6 0x000000 0 0 0 63 19 278 7 0x000000 0 0 0 63 19 Switch Phyport 278 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 278 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 279 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 279 0 0x000000 0 0 0 63 19 279 1 0x000000 0 0 0 63 19 279 2 0x000000 0 0 0 63 19 279 3 0x000000 0 0 0 63 19 279 4 0x000000 0 0 0 63 19 279 5 0x000000 0 0 0 63 19 279 6 0x000000 0 0 0 63 19 279 7 0x000000 0 0 0 63 19 Switch Phyport 279 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 279 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 280 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 280 0 0x000000 0 0 0 63 19 280 1 0x000000 0 0 0 63 19 280 2 0x000000 0 0 0 63 19 280 3 0x000000 0 0 0 63 19 280 4 0x000000 0 0 0 63 19 280 5 0x000000 0 0 0 63 19 280 6 0x000000 0 0 0 63 19 280 7 0x000000 0 0 0 63 19 Switch Phyport 280 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 280 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 281 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 281 0 0x000000 0 0 0 63 19 281 1 0x000000 0 0 0 63 19 281 2 0x000000 0 0 0 63 19 281 3 0x000000 0 0 0 63 19 281 4 0x000000 0 0 0 63 19 281 5 0x000000 0 0 0 63 19 281 6 0x000000 0 0 0 63 19 281 7 0x000000 0 0 0 63 19 Switch Phyport 281 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 281 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 282 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 282 0 0x000000 0 0 0 63 19 282 1 0x000000 0 0 0 63 19 282 2 0x000000 0 0 0 63 19 282 3 0x000000 0 0 0 63 19 282 4 0x000000 0 0 0 63 19 282 5 0x000000 0 0 0 63 19 282 6 0x000000 0 0 0 63 19 282 7 0x000000 0 0 0 63 19 Switch Phyport 282 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 282 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 283 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 283 0 0x000000 0 0 0 63 19 283 1 0x000000 0 0 0 63 19 283 2 0x000000 0 0 0 63 19 283 3 0x000000 0 0 0 63 19 283 4 0x000000 0 0 0 63 19 283 5 0x000000 0 0 0 63 19 283 6 0x000000 0 0 0 63 19 283 7 0x000000 0 0 0 63 19 Switch Phyport 283 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 283 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 284 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 284 0 0x000000 0 0 0 63 19 284 1 0x000000 0 0 0 63 19 284 2 0x000000 0 0 0 63 19 284 3 0x000000 0 0 0 63 19 284 4 0x000000 0 0 0 63 19 284 5 0x000000 0 0 0 63 19 284 6 0x000000 0 0 0 63 19 284 7 0x000000 0 0 0 63 19 Switch Phyport 284 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 284 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 285 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 285 0 0x000000 0 0 0 63 19 285 1 0x000000 0 0 0 63 19 285 2 0x000000 0 0 0 63 19 285 3 0x000000 0 0 0 63 19 285 4 0x000000 0 0 0 63 19 285 5 0x000000 0 0 0 63 19 285 6 0x000000 0 0 0 63 19 285 7 0x000000 0 0 0 63 19 Switch Phyport 285 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 285 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 286 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 286 0 0x000000 0 0 0 63 19 286 1 0x000000 0 0 0 63 19 286 2 0x000000 0 0 0 63 19 286 3 0x000000 0 0 0 63 19 286 4 0x000000 0 0 0 63 19 286 5 0x000000 0 0 0 63 19 286 6 0x000000 0 0 0 63 19 286 7 0x000000 0 0 0 63 19 Switch Phyport 286 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 286 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 287 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 287 0 0x000000 0 0 0 63 19 287 1 0x000000 0 0 0 63 19 287 2 0x000000 0 0 0 63 19 287 3 0x000000 0 0 0 63 19 287 4 0x000000 0 0 0 63 19 287 5 0x000000 0 0 0 63 19 287 6 0x000000 0 0 0 63 19 287 7 0x000000 0 0 0 63 19 Switch Phyport 287 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 287 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 288 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 288 0 0x000000 0 0 0 63 19 288 1 0x000000 0 0 0 63 19 288 2 0x000000 0 0 0 63 19 288 3 0x000000 0 0 0 63 19 288 4 0x000000 0 0 0 63 19 288 5 0x000000 0 0 0 63 19 288 6 0x000000 0 0 0 63 19 288 7 0x000000 0 0 0 63 19 Switch Phyport 288 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 288 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 289 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 289 0 0x000000 0 0 0 63 19 289 1 0x000000 0 0 0 63 19 289 2 0x000000 0 0 0 63 19 289 3 0x000000 0 0 0 63 19 289 4 0x000000 0 0 0 63 19 289 5 0x000000 0 0 0 63 19 289 6 0x000000 0 0 0 63 19 289 7 0x000000 0 0 0 63 19 Switch Phyport 289 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 289 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 290 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 290 0 0x000000 0 0 0 63 19 290 1 0x000000 0 0 0 63 19 290 2 0x000000 0 0 0 63 19 290 3 0x000000 0 0 0 63 19 290 4 0x000000 0 0 0 63 19 290 5 0x000000 0 0 0 63 19 290 6 0x000000 0 0 0 63 19 290 7 0x000000 0 0 0 63 19 Switch Phyport 290 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 290 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 291 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 291 0 0x000000 0 0 0 63 19 291 1 0x000000 0 0 0 63 19 291 2 0x000000 0 0 0 63 19 291 3 0x000000 0 0 0 63 19 291 4 0x000000 0 0 0 63 19 291 5 0x000000 0 0 0 63 19 291 6 0x000000 0 0 0 63 19 291 7 0x000000 0 0 0 63 19 Switch Phyport 291 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 291 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 292 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 292 0 0x000000 0 0 0 63 19 292 1 0x000000 0 0 0 63 19 292 2 0x000000 0 0 0 63 19 292 3 0x000000 0 0 0 63 19 292 4 0x000000 0 0 0 63 19 292 5 0x000000 0 0 0 63 19 292 6 0x000000 0 0 0 63 19 292 7 0x000000 0 0 0 63 19 Switch Phyport 292 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 292 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 293 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 293 0 0x000000 0 0 0 63 19 293 1 0x000000 0 0 0 63 19 293 2 0x000000 0 0 0 63 19 293 3 0x000000 0 0 0 63 19 293 4 0x000000 0 0 0 63 19 293 5 0x000000 0 0 0 63 19 293 6 0x000000 0 0 0 63 19 293 7 0x000000 0 0 0 63 19 Switch Phyport 293 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 293 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 294 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 294 0 0x000000 0 0 0 63 19 294 1 0x000000 0 0 0 63 19 294 2 0x000000 0 0 0 63 19 294 3 0x000000 0 0 0 63 19 294 4 0x000000 0 0 0 63 19 294 5 0x000000 0 0 0 63 19 294 6 0x000000 0 0 0 63 19 294 7 0x000000 0 0 0 63 19 Switch Phyport 294 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 294 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 295 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 295 0 0x000000 0 0 0 63 19 295 1 0x000000 0 0 0 63 19 295 2 0x000000 0 0 0 63 19 295 3 0x000000 0 0 0 63 19 295 4 0x000000 0 0 0 63 19 295 5 0x000000 0 0 0 63 19 295 6 0x000000 0 0 0 63 19 295 7 0x000000 0 0 0 63 19 Switch Phyport 295 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 295 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 296 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 296 0 0x000000 0 0 0 63 19 296 1 0x000000 0 0 0 63 19 296 2 0x000000 0 0 0 63 19 296 3 0x000000 0 0 0 63 19 296 4 0x000000 0 0 0 63 19 296 5 0x000000 0 0 0 63 19 296 6 0x000000 0 0 0 63 19 296 7 0x000000 0 0 0 63 19 Switch Phyport 296 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 296 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 297 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 297 0 0x000000 0 0 0 63 19 297 1 0x000000 0 0 0 63 19 297 2 0x000000 0 0 0 63 19 297 3 0x000000 0 0 0 63 19 297 4 0x000000 0 0 0 63 19 297 5 0x000000 0 0 0 63 19 297 6 0x000000 0 0 0 63 19 297 7 0x000000 0 0 0 63 19 Switch Phyport 297 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 297 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 298 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 298 0 0x000000 0 0 0 63 19 298 1 0x000000 0 0 0 63 19 298 2 0x000000 0 0 0 63 19 298 3 0x000000 0 0 0 63 19 298 4 0x000000 0 0 0 63 19 298 5 0x000000 0 0 0 63 19 298 6 0x000000 0 0 0 63 19 298 7 0x000000 0 0 0 63 19 Switch Phyport 298 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 298 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 299 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 299 0 0x000000 0 0 0 63 19 299 1 0x000000 0 0 0 63 19 299 2 0x000000 0 0 0 63 19 299 3 0x000000 0 0 0 63 19 299 4 0x000000 0 0 0 63 19 299 5 0x000000 0 0 0 63 19 299 6 0x000000 0 0 0 63 19 299 7 0x000000 0 0 0 63 19 Switch Phyport 299 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 299 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 300 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 300 0 0x000000 0 0 0 63 19 300 1 0x000000 0 0 0 63 19 300 2 0x000000 0 0 0 63 19 300 3 0x000000 0 0 0 63 19 300 4 0x000000 0 0 0 63 19 300 5 0x000000 0 0 0 63 19 300 6 0x000000 0 0 0 63 19 300 7 0x000000 0 0 0 63 19 Switch Phyport 300 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 300 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 301 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 301 0 0x000000 0 0 0 63 19 301 1 0x000000 0 0 0 63 19 301 2 0x000000 0 0 0 63 19 301 3 0x000000 0 0 0 63 19 301 4 0x000000 0 0 0 63 19 301 5 0x000000 0 0 0 63 19 301 6 0x000000 0 0 0 63 19 301 7 0x000000 0 0 0 63 19 Switch Phyport 301 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 301 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 302 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 302 0 0x000000 0 0 0 63 19 302 1 0x000000 0 0 0 63 19 302 2 0x000000 0 0 0 63 19 302 3 0x000000 0 0 0 63 19 302 4 0x000000 0 0 0 63 19 302 5 0x000000 0 0 0 63 19 302 6 0x000000 0 0 0 63 19 302 7 0x000000 0 0 0 63 19 Switch Phyport 302 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 302 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 303 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 303 0 0x000000 0 0 0 63 19 303 1 0x000000 0 0 0 63 19 303 2 0x000000 0 0 0 63 19 303 3 0x000000 0 0 0 63 19 303 4 0x000000 0 0 0 63 19 303 5 0x000000 0 0 0 63 19 303 6 0x000000 0 0 0 63 19 303 7 0x000000 0 0 0 63 19 Switch Phyport 303 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 303 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 304 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 304 0 0x000000 0 0 0 63 19 304 1 0x000000 0 0 0 63 19 304 2 0x000000 0 0 0 63 19 304 3 0x000000 0 0 0 63 19 304 4 0x000000 0 0 0 63 19 304 5 0x000000 0 0 0 63 19 304 6 0x000000 0 0 0 63 19 304 7 0x000000 0 0 0 63 19 Switch Phyport 304 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 304 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 305 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 305 0 0x000000 0 0 0 63 19 305 1 0x000000 0 0 0 63 19 305 2 0x000000 0 0 0 63 19 305 3 0x000000 0 0 0 63 19 305 4 0x000000 0 0 0 63 19 305 5 0x000000 0 0 0 63 19 305 6 0x000000 0 0 0 63 19 305 7 0x000000 0 0 0 63 19 Switch Phyport 305 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 305 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 306 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 306 0 0x000000 0 0 0 63 19 306 1 0x000000 0 0 0 63 19 306 2 0x000000 0 0 0 63 19 306 3 0x000000 0 0 0 63 19 306 4 0x000000 0 0 0 63 19 306 5 0x000000 0 0 0 63 19 306 6 0x000000 0 0 0 63 19 306 7 0x000000 0 0 0 63 19 Switch Phyport 306 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 306 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 307 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 307 0 0x000000 0 0 0 63 19 307 1 0x000000 0 0 0 63 19 307 2 0x000000 0 0 0 63 19 307 3 0x000000 0 0 0 63 19 307 4 0x000000 0 0 0 63 19 307 5 0x000000 0 0 0 63 19 307 6 0x000000 0 0 0 63 19 307 7 0x000000 0 0 0 63 19 Switch Phyport 307 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 307 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 308 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 308 0 0x000000 0 0 0 63 19 308 1 0x000000 0 0 0 63 19 308 2 0x000000 0 0 0 63 19 308 3 0x000000 0 0 0 63 19 308 4 0x000000 0 0 0 63 19 308 5 0x000000 0 0 0 63 19 308 6 0x000000 0 0 0 63 19 308 7 0x000000 0 0 0 63 19 Switch Phyport 308 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 308 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 309 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 309 0 0x000000 0 0 0 63 19 309 1 0x000000 0 0 0 63 19 309 2 0x000000 0 0 0 63 19 309 3 0x000000 0 0 0 63 19 309 4 0x000000 0 0 0 63 19 309 5 0x000000 0 0 0 63 19 309 6 0x000000 0 0 0 63 19 309 7 0x000000 0 0 0 63 19 Switch Phyport 309 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 309 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 310 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 310 0 0x000000 0 0 0 63 19 310 1 0x000000 0 0 0 63 19 310 2 0x000000 0 0 0 63 19 310 3 0x000000 0 0 0 63 19 310 4 0x000000 0 0 0 63 19 310 5 0x000000 0 0 0 63 19 310 6 0x000000 0 0 0 63 19 310 7 0x000000 0 0 0 63 19 Switch Phyport 310 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 310 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 311 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 311 0 0x000000 0 0 0 63 19 311 1 0x000000 0 0 0 63 19 311 2 0x000000 0 0 0 63 19 311 3 0x000000 0 0 0 63 19 311 4 0x000000 0 0 0 63 19 311 5 0x000000 0 0 0 63 19 311 6 0x000000 0 0 0 63 19 311 7 0x000000 0 0 0 63 19 Switch Phyport 311 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 311 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 312 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 312 0 0x000000 0 0 0 63 19 312 1 0x000000 0 0 0 63 19 312 2 0x000000 0 0 0 63 19 312 3 0x000000 0 0 0 63 19 312 4 0x000000 0 0 0 63 19 312 5 0x000000 0 0 0 63 19 312 6 0x000000 0 0 0 63 19 312 7 0x000000 0 0 0 63 19 Switch Phyport 312 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 312 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 313 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 313 0 0x000000 0 0 0 63 19 313 1 0x000000 0 0 0 63 19 313 2 0x000000 0 0 0 63 19 313 3 0x000000 0 0 0 63 19 313 4 0x000000 0 0 0 63 19 313 5 0x000000 0 0 0 63 19 313 6 0x000000 0 0 0 63 19 313 7 0x000000 0 0 0 63 19 Switch Phyport 313 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 313 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 314 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 314 0 0x000000 0 0 0 63 19 314 1 0x000000 0 0 0 63 19 314 2 0x000000 0 0 0 63 19 314 3 0x000000 0 0 0 63 19 314 4 0x000000 0 0 0 63 19 314 5 0x000000 0 0 0 63 19 314 6 0x000000 0 0 0 63 19 314 7 0x000000 0 0 0 63 19 Switch Phyport 314 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 314 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 315 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 315 0 0x000000 0 0 0 63 19 315 1 0x000000 0 0 0 63 19 315 2 0x000000 0 0 0 63 19 315 3 0x000000 0 0 0 63 19 315 4 0x000000 0 0 0 63 19 315 5 0x000000 0 0 0 63 19 315 6 0x000000 0 0 0 63 19 315 7 0x000000 0 0 0 63 19 Switch Phyport 315 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 315 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 316 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 316 0 0x000000 0 0 0 63 19 316 1 0x000000 0 0 0 63 19 316 2 0x000000 0 0 0 63 19 316 3 0x000000 0 0 0 63 19 316 4 0x000000 0 0 0 63 19 316 5 0x000000 0 0 0 63 19 316 6 0x000000 0 0 0 63 19 316 7 0x000000 0 0 0 63 19 Switch Phyport 316 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 316 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 317 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 317 0 0x000000 0 0 0 63 19 317 1 0x000000 0 0 0 63 19 317 2 0x000000 0 0 0 63 19 317 3 0x000000 0 0 0 63 19 317 4 0x000000 0 0 0 63 19 317 5 0x000000 0 0 0 63 19 317 6 0x000000 0 0 0 63 19 317 7 0x000000 0 0 0 63 19 Switch Phyport 317 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 317 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 318 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 318 0 0x000000 0 0 0 63 19 318 1 0x000000 0 0 0 63 19 318 2 0x000000 0 0 0 63 19 318 3 0x000000 0 0 0 63 19 318 4 0x000000 0 0 0 63 19 318 5 0x000000 0 0 0 63 19 318 6 0x000000 0 0 0 63 19 318 7 0x000000 0 0 0 63 19 Switch Phyport 318 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 318 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 319 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 319 0 0x000000 0 0 0 63 19 319 1 0x000000 0 0 0 63 19 319 2 0x000000 0 0 0 63 19 319 3 0x000000 0 0 0 63 19 319 4 0x000000 0 0 0 63 19 319 5 0x000000 0 0 0 63 19 319 6 0x000000 0 0 0 63 19 319 7 0x000000 0 0 0 63 19 Switch Phyport 319 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 319 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 320 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 320 0 0x000000 0 0 0 63 19 320 1 0x000000 0 0 0 63 19 320 2 0x000000 0 0 0 63 19 320 3 0x000000 0 0 0 63 19 320 4 0x000000 0 0 0 63 19 320 5 0x000000 0 0 0 63 19 320 6 0x000000 0 0 0 63 19 320 7 0x000000 0 0 0 63 19 Switch Phyport 320 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 320 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 321 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 321 0 0x000000 0 0 0 63 19 321 1 0x000000 0 0 0 63 19 321 2 0x000000 0 0 0 63 19 321 3 0x000000 0 0 0 63 19 321 4 0x000000 0 0 0 63 19 321 5 0x000000 0 0 0 63 19 321 6 0x000000 0 0 0 63 19 321 7 0x000000 0 0 0 63 19 Switch Phyport 321 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 321 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 322 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 322 0 0x000000 0 0 0 63 19 322 1 0x000000 0 0 0 63 19 322 2 0x000000 0 0 0 63 19 322 3 0x000000 0 0 0 63 19 322 4 0x000000 0 0 0 63 19 322 5 0x000000 0 0 0 63 19 322 6 0x000000 0 0 0 63 19 322 7 0x000000 0 0 0 63 19 Switch Phyport 322 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 322 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 323 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 323 0 0x000000 0 0 0 63 19 323 1 0x000000 0 0 0 63 19 323 2 0x000000 0 0 0 63 19 323 3 0x000000 0 0 0 63 19 323 4 0x000000 0 0 0 63 19 323 5 0x000000 0 0 0 63 19 323 6 0x000000 0 0 0 63 19 323 7 0x000000 0 0 0 63 19 Switch Phyport 323 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 323 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 324 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 324 0 0x000000 0 0 0 63 19 324 1 0x000000 0 0 0 63 19 324 2 0x000000 0 0 0 63 19 324 3 0x000000 0 0 0 63 19 324 4 0x000000 0 0 0 63 19 324 5 0x000000 0 0 0 63 19 324 6 0x000000 0 0 0 63 19 324 7 0x000000 0 0 0 63 19 Switch Phyport 324 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 324 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 325 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 325 0 0x000000 0 0 0 63 19 325 1 0x000000 0 0 0 63 19 325 2 0x000000 0 0 0 63 19 325 3 0x000000 0 0 0 63 19 325 4 0x000000 0 0 0 63 19 325 5 0x000000 0 0 0 63 19 325 6 0x000000 0 0 0 63 19 325 7 0x000000 0 0 0 63 19 Switch Phyport 325 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 325 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 326 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 326 0 0x000000 0 0 0 63 19 326 1 0x000000 0 0 0 63 19 326 2 0x000000 0 0 0 63 19 326 3 0x000000 0 0 0 63 19 326 4 0x000000 0 0 0 63 19 326 5 0x000000 0 0 0 63 19 326 6 0x000000 0 0 0 63 19 326 7 0x000000 0 0 0 63 19 Switch Phyport 326 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 326 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 327 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 327 0 0x000000 0 0 0 63 19 327 1 0x000000 0 0 0 63 19 327 2 0x000000 0 0 0 63 19 327 3 0x000000 0 0 0 63 19 327 4 0x000000 0 0 0 63 19 327 5 0x000000 0 0 0 63 19 327 6 0x000000 0 0 0 63 19 327 7 0x000000 0 0 0 63 19 Switch Phyport 327 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 327 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 328 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 328 0 0x000000 0 0 0 63 19 328 1 0x000000 0 0 0 63 19 328 2 0x000000 0 0 0 63 19 328 3 0x000000 0 0 0 63 19 328 4 0x000000 0 0 0 63 19 328 5 0x000000 0 0 0 63 19 328 6 0x000000 0 0 0 63 19 328 7 0x000000 0 0 0 63 19 Switch Phyport 328 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 328 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 329 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 329 0 0x000000 0 0 0 63 19 329 1 0x000000 0 0 0 63 19 329 2 0x000000 0 0 0 63 19 329 3 0x000000 0 0 0 63 19 329 4 0x000000 0 0 0 63 19 329 5 0x000000 0 0 0 63 19 329 6 0x000000 0 0 0 63 19 329 7 0x000000 0 0 0 63 19 Switch Phyport 329 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 329 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 330 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 330 0 0x000000 0 0 0 63 19 330 1 0x000000 0 0 0 63 19 330 2 0x000000 0 0 0 63 19 330 3 0x000000 0 0 0 63 19 330 4 0x000000 0 0 0 63 19 330 5 0x000000 0 0 0 63 19 330 6 0x000000 0 0 0 63 19 330 7 0x000000 0 0 0 63 19 Switch Phyport 330 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 330 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 331 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 331 0 0x000000 0 0 0 63 19 331 1 0x000000 0 0 0 63 19 331 2 0x000000 0 0 0 63 19 331 3 0x000000 0 0 0 63 19 331 4 0x000000 0 0 0 63 19 331 5 0x000000 0 0 0 63 19 331 6 0x000000 0 0 0 63 19 331 7 0x000000 0 0 0 63 19 Switch Phyport 331 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 331 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 332 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 332 0 0x000000 0 0 0 63 19 332 1 0x000000 0 0 0 63 19 332 2 0x000000 0 0 0 63 19 332 3 0x000000 0 0 0 63 19 332 4 0x000000 0 0 0 63 19 332 5 0x000000 0 0 0 63 19 332 6 0x000000 0 0 0 63 19 332 7 0x000000 0 0 0 63 19 Switch Phyport 332 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 332 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 333 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 333 0 0x000000 0 0 0 63 19 333 1 0x000000 0 0 0 63 19 333 2 0x000000 0 0 0 63 19 333 3 0x000000 0 0 0 63 19 333 4 0x000000 0 0 0 63 19 333 5 0x000000 0 0 0 63 19 333 6 0x000000 0 0 0 63 19 333 7 0x000000 0 0 0 63 19 Switch Phyport 333 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 333 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 334 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 334 0 0x000000 0 0 0 63 19 334 1 0x000000 0 0 0 63 19 334 2 0x000000 0 0 0 63 19 334 3 0x000000 0 0 0 63 19 334 4 0x000000 0 0 0 63 19 334 5 0x000000 0 0 0 63 19 334 6 0x000000 0 0 0 63 19 334 7 0x000000 0 0 0 63 19 Switch Phyport 334 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 334 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 335 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 335 0 0x000000 0 0 0 63 19 335 1 0x000000 0 0 0 63 19 335 2 0x000000 0 0 0 63 19 335 3 0x000000 0 0 0 63 19 335 4 0x000000 0 0 0 63 19 335 5 0x000000 0 0 0 63 19 335 6 0x000000 0 0 0 63 19 335 7 0x000000 0 0 0 63 19 Switch Phyport 335 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 335 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 336 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 336 0 0x000000 0 0 0 63 19 336 1 0x000000 0 0 0 63 19 336 2 0x000000 0 0 0 63 19 336 3 0x000000 0 0 0 63 19 336 4 0x000000 0 0 0 63 19 336 5 0x000000 0 0 0 63 19 336 6 0x000000 0 0 0 63 19 336 7 0x000000 0 0 0 63 19 Switch Phyport 336 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 336 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 337 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 337 0 0x000000 0 0 0 63 19 337 1 0x000000 0 0 0 63 19 337 2 0x000000 0 0 0 63 19 337 3 0x000000 0 0 0 63 19 337 4 0x000000 0 0 0 63 19 337 5 0x000000 0 0 0 63 19 337 6 0x000000 0 0 0 63 19 337 7 0x000000 0 0 0 63 19 Switch Phyport 337 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 337 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 338 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 338 0 0x000000 0 0 0 63 19 338 1 0x000000 0 0 0 63 19 338 2 0x000000 0 0 0 63 19 338 3 0x000000 0 0 0 63 19 338 4 0x000000 0 0 0 63 19 338 5 0x000000 0 0 0 63 19 338 6 0x000000 0 0 0 63 19 338 7 0x000000 0 0 0 63 19 Switch Phyport 338 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 338 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 339 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 339 0 0x000000 0 0 0 63 19 339 1 0x000000 0 0 0 63 19 339 2 0x000000 0 0 0 63 19 339 3 0x000000 0 0 0 63 19 339 4 0x000000 0 0 0 63 19 339 5 0x000000 0 0 0 63 19 339 6 0x000000 0 0 0 63 19 339 7 0x000000 0 0 0 63 19 Switch Phyport 339 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 339 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 340 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 340 0 0x000000 0 0 0 63 19 340 1 0x000000 0 0 0 63 19 340 2 0x000000 0 0 0 63 19 340 3 0x000000 0 0 0 63 19 340 4 0x000000 0 0 0 63 19 340 5 0x000000 0 0 0 63 19 340 6 0x000000 0 0 0 63 19 340 7 0x000000 0 0 0 63 19 Switch Phyport 340 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 340 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 341 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 341 0 0x000000 0 0 0 63 19 341 1 0x000000 0 0 0 63 19 341 2 0x000000 0 0 0 63 19 341 3 0x000000 0 0 0 63 19 341 4 0x000000 0 0 0 63 19 341 5 0x000000 0 0 0 63 19 341 6 0x000000 0 0 0 63 19 341 7 0x000000 0 0 0 63 19 Switch Phyport 341 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 341 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 342 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 342 0 0x000000 0 0 0 63 19 342 1 0x000000 0 0 0 63 19 342 2 0x000000 0 0 0 63 19 342 3 0x000000 0 0 0 63 19 342 4 0x000000 0 0 0 63 19 342 5 0x000000 0 0 0 63 19 342 6 0x000000 0 0 0 63 19 342 7 0x000000 0 0 0 63 19 Switch Phyport 342 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 342 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 343 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 343 0 0x000000 0 0 0 63 19 343 1 0x000000 0 0 0 63 19 343 2 0x000000 0 0 0 63 19 343 3 0x000000 0 0 0 63 19 343 4 0x000000 0 0 0 63 19 343 5 0x000000 0 0 0 63 19 343 6 0x000000 0 0 0 63 19 343 7 0x000000 0 0 0 63 19 Switch Phyport 343 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 343 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 344 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 344 0 0x000000 0 0 0 63 19 344 1 0x000000 0 0 0 63 19 344 2 0x000000 0 0 0 63 19 344 3 0x000000 0 0 0 63 19 344 4 0x000000 0 0 0 63 19 344 5 0x000000 0 0 0 63 19 344 6 0x000000 0 0 0 63 19 344 7 0x000000 0 0 0 63 19 Switch Phyport 344 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 344 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 345 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 345 0 0x000000 0 0 0 63 19 345 1 0x000000 0 0 0 63 19 345 2 0x000000 0 0 0 63 19 345 3 0x000000 0 0 0 63 19 345 4 0x000000 0 0 0 63 19 345 5 0x000000 0 0 0 63 19 345 6 0x000000 0 0 0 63 19 345 7 0x000000 0 0 0 63 19 Switch Phyport 345 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 345 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 346 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 346 0 0x000000 0 0 0 63 19 346 1 0x000000 0 0 0 63 19 346 2 0x000000 0 0 0 63 19 346 3 0x000000 0 0 0 63 19 346 4 0x000000 0 0 0 63 19 346 5 0x000000 0 0 0 63 19 346 6 0x000000 0 0 0 63 19 346 7 0x000000 0 0 0 63 19 Switch Phyport 346 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 346 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 347 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 347 0 0x000000 0 0 0 63 19 347 1 0x000000 0 0 0 63 19 347 2 0x000000 0 0 0 63 19 347 3 0x000000 0 0 0 63 19 347 4 0x000000 0 0 0 63 19 347 5 0x000000 0 0 0 63 19 347 6 0x000000 0 0 0 63 19 347 7 0x000000 0 0 0 63 19 Switch Phyport 347 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 347 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 348 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 348 0 0x000000 0 0 0 63 19 348 1 0x000000 0 0 0 63 19 348 2 0x000000 0 0 0 63 19 348 3 0x000000 0 0 0 63 19 348 4 0x000000 0 0 0 63 19 348 5 0x000000 0 0 0 63 19 348 6 0x000000 0 0 0 63 19 348 7 0x000000 0 0 0 63 19 Switch Phyport 348 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 348 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 349 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 349 0 0x000000 0 0 0 63 19 349 1 0x000000 0 0 0 63 19 349 2 0x000000 0 0 0 63 19 349 3 0x000000 0 0 0 63 19 349 4 0x000000 0 0 0 63 19 349 5 0x000000 0 0 0 63 19 349 6 0x000000 0 0 0 63 19 349 7 0x000000 0 0 0 63 19 Switch Phyport 349 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 349 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 350 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 350 0 0x000000 0 0 0 63 19 350 1 0x000000 0 0 0 63 19 350 2 0x000000 0 0 0 63 19 350 3 0x000000 0 0 0 63 19 350 4 0x000000 0 0 0 63 19 350 5 0x000000 0 0 0 63 19 350 6 0x000000 0 0 0 63 19 350 7 0x000000 0 0 0 63 19 Switch Phyport 350 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 350 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 351 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 351 0 0x000000 0 0 0 63 19 351 1 0x000000 0 0 0 63 19 351 2 0x000000 0 0 0 63 19 351 3 0x000000 0 0 0 63 19 351 4 0x000000 0 0 0 63 19 351 5 0x000000 0 0 0 63 19 351 6 0x000000 0 0 0 63 19 351 7 0x000000 0 0 0 63 19 Switch Phyport 351 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 351 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 352 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 352 0 0x000000 0 0 0 63 19 352 1 0x000000 0 0 0 63 19 352 2 0x000000 0 0 0 63 19 352 3 0x000000 0 0 0 63 19 352 4 0x000000 0 0 0 63 19 352 5 0x000000 0 0 0 63 19 352 6 0x000000 0 0 0 63 19 352 7 0x000000 0 0 0 63 19 Switch Phyport 352 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 352 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 353 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 353 0 0x000000 0 0 0 63 19 353 1 0x000000 0 0 0 63 19 353 2 0x000000 0 0 0 63 19 353 3 0x000000 0 0 0 63 19 353 4 0x000000 0 0 0 63 19 353 5 0x000000 0 0 0 63 19 353 6 0x000000 0 0 0 63 19 353 7 0x000000 0 0 0 63 19 Switch Phyport 353 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 353 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 354 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 354 0 0x000000 0 0 0 63 19 354 1 0x000000 0 0 0 63 19 354 2 0x000000 0 0 0 63 19 354 3 0x000000 0 0 0 63 19 354 4 0x000000 0 0 0 63 19 354 5 0x000000 0 0 0 63 19 354 6 0x000000 0 0 0 63 19 354 7 0x000000 0 0 0 63 19 Switch Phyport 354 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 354 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 355 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 355 0 0x000000 0 0 0 63 19 355 1 0x000000 0 0 0 63 19 355 2 0x000000 0 0 0 63 19 355 3 0x000000 0 0 0 63 19 355 4 0x000000 0 0 0 63 19 355 5 0x000000 0 0 0 63 19 355 6 0x000000 0 0 0 63 19 355 7 0x000000 0 0 0 63 19 Switch Phyport 355 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 355 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 356 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 356 0 0x000000 0 0 0 63 19 356 1 0x000000 0 0 0 63 19 356 2 0x000000 0 0 0 63 19 356 3 0x000000 0 0 0 63 19 356 4 0x000000 0 0 0 63 19 356 5 0x000000 0 0 0 63 19 356 6 0x000000 0 0 0 63 19 356 7 0x000000 0 0 0 63 19 Switch Phyport 356 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 356 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 357 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 357 0 0x000000 0 0 0 63 19 357 1 0x000000 0 0 0 63 19 357 2 0x000000 0 0 0 63 19 357 3 0x000000 0 0 0 63 19 357 4 0x000000 0 0 0 63 19 357 5 0x000000 0 0 0 63 19 357 6 0x000000 0 0 0 63 19 357 7 0x000000 0 0 0 63 19 Switch Phyport 357 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 357 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 358 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 358 0 0x000000 0 0 0 63 19 358 1 0x000000 0 0 0 63 19 358 2 0x000000 0 0 0 63 19 358 3 0x000000 0 0 0 63 19 358 4 0x000000 0 0 0 63 19 358 5 0x000000 0 0 0 63 19 358 6 0x000000 0 0 0 63 19 358 7 0x000000 0 0 0 63 19 Switch Phyport 358 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 358 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 359 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 359 0 0x000000 0 0 0 63 19 359 1 0x000000 0 0 0 63 19 359 2 0x000000 0 0 0 63 19 359 3 0x000000 0 0 0 63 19 359 4 0x000000 0 0 0 63 19 359 5 0x000000 0 0 0 63 19 359 6 0x000000 0 0 0 63 19 359 7 0x000000 0 0 0 63 19 Switch Phyport 359 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 359 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 360 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 360 0 0x000000 0 0 0 63 19 360 1 0x000000 0 0 0 63 19 360 2 0x000000 0 0 0 63 19 360 3 0x000000 0 0 0 63 19 360 4 0x000000 0 0 0 63 19 360 5 0x000000 0 0 0 63 19 360 6 0x000000 0 0 0 63 19 360 7 0x000000 0 0 0 63 19 Switch Phyport 360 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 360 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 361 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 361 0 0x000000 0 0 0 63 19 361 1 0x000000 0 0 0 63 19 361 2 0x000000 0 0 0 63 19 361 3 0x000000 0 0 0 63 19 361 4 0x000000 0 0 0 63 19 361 5 0x000000 0 0 0 63 19 361 6 0x000000 0 0 0 63 19 361 7 0x000000 0 0 0 63 19 Switch Phyport 361 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 361 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 362 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 362 0 0x000000 0 0 0 63 19 362 1 0x000000 0 0 0 63 19 362 2 0x000000 0 0 0 63 19 362 3 0x000000 0 0 0 63 19 362 4 0x000000 0 0 0 63 19 362 5 0x000000 0 0 0 63 19 362 6 0x000000 0 0 0 63 19 362 7 0x000000 0 0 0 63 19 Switch Phyport 362 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 362 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 363 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 363 0 0x000000 0 0 0 63 19 363 1 0x000000 0 0 0 63 19 363 2 0x000000 0 0 0 63 19 363 3 0x000000 0 0 0 63 19 363 4 0x000000 0 0 0 63 19 363 5 0x000000 0 0 0 63 19 363 6 0x000000 0 0 0 63 19 363 7 0x000000 0 0 0 63 19 Switch Phyport 363 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 363 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 364 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 364 0 0x000000 0 0 0 63 19 364 1 0x000000 0 0 0 63 19 364 2 0x000000 0 0 0 63 19 364 3 0x000000 0 0 0 63 19 364 4 0x000000 0 0 0 63 19 364 5 0x000000 0 0 0 63 19 364 6 0x000000 0 0 0 63 19 364 7 0x000000 0 0 0 63 19 Switch Phyport 364 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 364 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 365 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 365 0 0x000000 0 0 0 63 19 365 1 0x000000 0 0 0 63 19 365 2 0x000000 0 0 0 63 19 365 3 0x000000 0 0 0 63 19 365 4 0x000000 0 0 0 63 19 365 5 0x000000 0 0 0 63 19 365 6 0x000000 0 0 0 63 19 365 7 0x000000 0 0 0 63 19 Switch Phyport 365 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 365 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 366 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 366 0 0x000000 0 0 0 63 19 366 1 0x000000 0 0 0 63 19 366 2 0x000000 0 0 0 63 19 366 3 0x000000 0 0 0 63 19 366 4 0x000000 0 0 0 63 19 366 5 0x000000 0 0 0 63 19 366 6 0x000000 0 0 0 63 19 366 7 0x000000 0 0 0 63 19 Switch Phyport 366 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 366 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 367 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 367 0 0x000000 0 0 0 63 19 367 1 0x000000 0 0 0 63 19 367 2 0x000000 0 0 0 63 19 367 3 0x000000 0 0 0 63 19 367 4 0x000000 0 0 0 63 19 367 5 0x000000 0 0 0 63 19 367 6 0x000000 0 0 0 63 19 367 7 0x000000 0 0 0 63 19 Switch Phyport 367 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 367 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 368 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 368 0 0x000000 0 0 0 63 19 368 1 0x000000 0 0 0 63 19 368 2 0x000000 0 0 0 63 19 368 3 0x000000 0 0 0 63 19 368 4 0x000000 0 0 0 63 19 368 5 0x000000 0 0 0 63 19 368 6 0x000000 0 0 0 63 19 368 7 0x000000 0 0 0 63 19 Switch Phyport 368 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 368 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 369 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 369 0 0x000000 0 0 0 63 19 369 1 0x000000 0 0 0 63 19 369 2 0x000000 0 0 0 63 19 369 3 0x000000 0 0 0 63 19 369 4 0x000000 0 0 0 63 19 369 5 0x000000 0 0 0 63 19 369 6 0x000000 0 0 0 63 19 369 7 0x000000 0 0 0 63 19 Switch Phyport 369 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 369 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 370 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 370 0 0x000000 0 0 0 63 19 370 1 0x000000 0 0 0 63 19 370 2 0x000000 0 0 0 63 19 370 3 0x000000 0 0 0 63 19 370 4 0x000000 0 0 0 63 19 370 5 0x000000 0 0 0 63 19 370 6 0x000000 0 0 0 63 19 370 7 0x000000 0 0 0 63 19 Switch Phyport 370 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 370 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 371 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 371 0 0x000000 0 0 0 63 19 371 1 0x000000 0 0 0 63 19 371 2 0x000000 0 0 0 63 19 371 3 0x000000 0 0 0 63 19 371 4 0x000000 0 0 0 63 19 371 5 0x000000 0 0 0 63 19 371 6 0x000000 0 0 0 63 19 371 7 0x000000 0 0 0 63 19 Switch Phyport 371 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 371 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 372 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 372 0 0x000000 0 0 0 63 19 372 1 0x000000 0 0 0 63 19 372 2 0x000000 0 0 0 63 19 372 3 0x000000 0 0 0 63 19 372 4 0x000000 0 0 0 63 19 372 5 0x000000 0 0 0 63 19 372 6 0x000000 0 0 0 63 19 372 7 0x000000 0 0 0 63 19 Switch Phyport 372 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 372 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 373 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 373 0 0x000000 0 0 0 63 19 373 1 0x000000 0 0 0 63 19 373 2 0x000000 0 0 0 63 19 373 3 0x000000 0 0 0 63 19 373 4 0x000000 0 0 0 63 19 373 5 0x000000 0 0 0 63 19 373 6 0x000000 0 0 0 63 19 373 7 0x000000 0 0 0 63 19 Switch Phyport 373 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 373 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 374 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 374 0 0x000000 0 0 0 63 19 374 1 0x000000 0 0 0 63 19 374 2 0x000000 0 0 0 63 19 374 3 0x000000 0 0 0 63 19 374 4 0x000000 0 0 0 63 19 374 5 0x000000 0 0 0 63 19 374 6 0x000000 0 0 0 63 19 374 7 0x000000 0 0 0 63 19 Switch Phyport 374 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 374 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 375 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 375 0 0x000000 0 0 0 63 19 375 1 0x000000 0 0 0 63 19 375 2 0x000000 0 0 0 63 19 375 3 0x000000 0 0 0 63 19 375 4 0x000000 0 0 0 63 19 375 5 0x000000 0 0 0 63 19 375 6 0x000000 0 0 0 63 19 375 7 0x000000 0 0 0 63 19 Switch Phyport 375 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 375 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 376 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 376 0 0x000000 0 0 0 63 19 376 1 0x000000 0 0 0 63 19 376 2 0x000000 0 0 0 63 19 376 3 0x000000 0 0 0 63 19 376 4 0x000000 0 0 0 63 19 376 5 0x000000 0 0 0 63 19 376 6 0x000000 0 0 0 63 19 376 7 0x000000 0 0 0 63 19 Switch Phyport 376 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 376 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 377 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 377 0 0x000000 0 0 0 63 19 377 1 0x000000 0 0 0 63 19 377 2 0x000000 0 0 0 63 19 377 3 0x000000 0 0 0 63 19 377 4 0x000000 0 0 0 63 19 377 5 0x000000 0 0 0 63 19 377 6 0x000000 0 0 0 63 19 377 7 0x000000 0 0 0 63 19 Switch Phyport 377 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 377 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 378 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 378 0 0x000000 0 0 0 63 19 378 1 0x000000 0 0 0 63 19 378 2 0x000000 0 0 0 63 19 378 3 0x000000 0 0 0 63 19 378 4 0x000000 0 0 0 63 19 378 5 0x000000 0 0 0 63 19 378 6 0x000000 0 0 0 63 19 378 7 0x000000 0 0 0 63 19 Switch Phyport 378 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 378 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 379 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 379 0 0x000000 0 0 0 63 19 379 1 0x000000 0 0 0 63 19 379 2 0x000000 0 0 0 63 19 379 3 0x000000 0 0 0 63 19 379 4 0x000000 0 0 0 63 19 379 5 0x000000 0 0 0 63 19 379 6 0x000000 0 0 0 63 19 379 7 0x000000 0 0 0 63 19 Switch Phyport 379 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 379 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 380 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 380 0 0x000000 0 0 0 63 19 380 1 0x000000 0 0 0 63 19 380 2 0x000000 0 0 0 63 19 380 3 0x000000 0 0 0 63 19 380 4 0x000000 0 0 0 63 19 380 5 0x000000 0 0 0 63 19 380 6 0x000000 0 0 0 63 19 380 7 0x000000 0 0 0 63 19 Switch Phyport 380 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 380 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 381 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 381 0 0x000000 0 0 0 63 19 381 1 0x000000 0 0 0 63 19 381 2 0x000000 0 0 0 63 19 381 3 0x000000 0 0 0 63 19 381 4 0x000000 0 0 0 63 19 381 5 0x000000 0 0 0 63 19 381 6 0x000000 0 0 0 63 19 381 7 0x000000 0 0 0 63 19 Switch Phyport 381 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 381 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 382 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 382 0 0x000000 0 0 0 63 19 382 1 0x000000 0 0 0 63 19 382 2 0x000000 0 0 0 63 19 382 3 0x000000 0 0 0 63 19 382 4 0x000000 0 0 0 63 19 382 5 0x000000 0 0 0 63 19 382 6 0x000000 0 0 0 63 19 382 7 0x000000 0 0 0 63 19 Switch Phyport 382 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 382 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 383 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 383 0 0x000000 0 0 0 63 19 383 1 0x000000 0 0 0 63 19 383 2 0x000000 0 0 0 63 19 383 3 0x000000 0 0 0 63 19 383 4 0x000000 0 0 0 63 19 383 5 0x000000 0 0 0 63 19 383 6 0x000000 0 0 0 63 19 383 7 0x000000 0 0 0 63 19 Switch Phyport 383 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 383 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 384 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 384 0 0x000000 0 0 0 63 19 384 1 0x000000 0 0 0 63 19 384 2 0x000000 0 0 0 63 19 384 3 0x000000 0 0 0 63 19 384 4 0x000000 0 0 0 63 19 384 5 0x000000 0 0 0 63 19 384 6 0x000000 0 0 0 63 19 384 7 0x000000 0 0 0 63 19 Switch Phyport 384 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 384 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 385 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 385 0 0x000000 0 0 0 63 19 385 1 0x000000 0 0 0 63 19 385 2 0x000000 0 0 0 63 19 385 3 0x000000 0 0 0 63 19 385 4 0x000000 0 0 0 63 19 385 5 0x000000 0 0 0 63 19 385 6 0x000000 0 0 0 63 19 385 7 0x000000 0 0 0 63 19 Switch Phyport 385 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 385 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 386 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 386 0 0x000000 0 0 0 63 19 386 1 0x000000 0 0 0 63 19 386 2 0x000000 0 0 0 63 19 386 3 0x000000 0 0 0 63 19 386 4 0x000000 0 0 0 63 19 386 5 0x000000 0 0 0 63 19 386 6 0x000000 0 0 0 63 19 386 7 0x000000 0 0 0 63 19 Switch Phyport 386 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 386 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 387 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 387 0 0x000000 0 0 0 63 19 387 1 0x000000 0 0 0 63 19 387 2 0x000000 0 0 0 63 19 387 3 0x000000 0 0 0 63 19 387 4 0x000000 0 0 0 63 19 387 5 0x000000 0 0 0 63 19 387 6 0x000000 0 0 0 63 19 387 7 0x000000 0 0 0 63 19 Switch Phyport 387 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 387 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 388 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 388 0 0x000000 0 0 0 63 19 388 1 0x000000 0 0 0 63 19 388 2 0x000000 0 0 0 63 19 388 3 0x000000 0 0 0 63 19 388 4 0x000000 0 0 0 63 19 388 5 0x000000 0 0 0 63 19 388 6 0x000000 0 0 0 63 19 388 7 0x000000 0 0 0 63 19 Switch Phyport 388 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 388 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 389 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 389 0 0x000000 0 0 0 63 19 389 1 0x000000 0 0 0 63 19 389 2 0x000000 0 0 0 63 19 389 3 0x000000 0 0 0 63 19 389 4 0x000000 0 0 0 63 19 389 5 0x000000 0 0 0 63 19 389 6 0x000000 0 0 0 63 19 389 7 0x000000 0 0 0 63 19 Switch Phyport 389 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 389 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 390 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 390 0 0x000000 0 0 0 63 19 390 1 0x000000 0 0 0 63 19 390 2 0x000000 0 0 0 63 19 390 3 0x000000 0 0 0 63 19 390 4 0x000000 0 0 0 63 19 390 5 0x000000 0 0 0 63 19 390 6 0x000000 0 0 0 63 19 390 7 0x000000 0 0 0 63 19 Switch Phyport 390 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 390 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 391 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 391 0 0x000000 0 0 0 63 19 391 1 0x000000 0 0 0 63 19 391 2 0x000000 0 0 0 63 19 391 3 0x000000 0 0 0 63 19 391 4 0x000000 0 0 0 63 19 391 5 0x000000 0 0 0 63 19 391 6 0x000000 0 0 0 63 19 391 7 0x000000 0 0 0 63 19 Switch Phyport 391 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 391 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 392 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 392 0 0x000000 0 0 0 63 19 392 1 0x000000 0 0 0 63 19 392 2 0x000000 0 0 0 63 19 392 3 0x000000 0 0 0 63 19 392 4 0x000000 0 0 0 63 19 392 5 0x000000 0 0 0 63 19 392 6 0x000000 0 0 0 63 19 392 7 0x000000 0 0 0 63 19 Switch Phyport 392 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 392 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 393 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 393 0 0x000000 0 0 0 63 19 393 1 0x000000 0 0 0 63 19 393 2 0x000000 0 0 0 63 19 393 3 0x000000 0 0 0 63 19 393 4 0x000000 0 0 0 63 19 393 5 0x000000 0 0 0 63 19 393 6 0x000000 0 0 0 63 19 393 7 0x000000 0 0 0 63 19 Switch Phyport 393 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 393 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 394 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 394 0 0x000000 0 0 0 63 19 394 1 0x000000 0 0 0 63 19 394 2 0x000000 0 0 0 63 19 394 3 0x000000 0 0 0 63 19 394 4 0x000000 0 0 0 63 19 394 5 0x000000 0 0 0 63 19 394 6 0x000000 0 0 0 63 19 394 7 0x000000 0 0 0 63 19 Switch Phyport 394 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 394 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 395 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 395 0 0x000000 0 0 0 63 19 395 1 0x000000 0 0 0 63 19 395 2 0x000000 0 0 0 63 19 395 3 0x000000 0 0 0 63 19 395 4 0x000000 0 0 0 63 19 395 5 0x000000 0 0 0 63 19 395 6 0x000000 0 0 0 63 19 395 7 0x000000 0 0 0 63 19 Switch Phyport 395 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 395 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 396 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 396 0 0x000000 0 0 0 63 19 396 1 0x000000 0 0 0 63 19 396 2 0x000000 0 0 0 63 19 396 3 0x000000 0 0 0 63 19 396 4 0x000000 0 0 0 63 19 396 5 0x000000 0 0 0 63 19 396 6 0x000000 0 0 0 63 19 396 7 0x000000 0 0 0 63 19 Switch Phyport 396 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 396 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 397 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 397 0 0x000000 0 0 0 63 19 397 1 0x000000 0 0 0 63 19 397 2 0x000000 0 0 0 63 19 397 3 0x000000 0 0 0 63 19 397 4 0x000000 0 0 0 63 19 397 5 0x000000 0 0 0 63 19 397 6 0x000000 0 0 0 63 19 397 7 0x000000 0 0 0 63 19 Switch Phyport 397 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 397 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 398 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 398 0 0x000000 0 0 0 63 19 398 1 0x000000 0 0 0 63 19 398 2 0x000000 0 0 0 63 19 398 3 0x000000 0 0 0 63 19 398 4 0x000000 0 0 0 63 19 398 5 0x000000 0 0 0 63 19 398 6 0x000000 0 0 0 63 19 398 7 0x000000 0 0 0 63 19 Switch Phyport 398 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 398 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 399 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 399 0 0x000000 0 0 0 63 19 399 1 0x000000 0 0 0 63 19 399 2 0x000000 0 0 0 63 19 399 3 0x000000 0 0 0 63 19 399 4 0x000000 0 0 0 63 19 399 5 0x000000 0 0 0 63 19 399 6 0x000000 0 0 0 63 19 399 7 0x000000 0 0 0 63 19 Switch Phyport 399 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 399 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 400 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 400 0 0x000000 0 0 0 63 19 400 1 0x000000 0 0 0 63 19 400 2 0x000000 0 0 0 63 19 400 3 0x000000 0 0 0 63 19 400 4 0x000000 0 0 0 63 19 400 5 0x000000 0 0 0 63 19 400 6 0x000000 0 0 0 63 19 400 7 0x000000 0 0 0 63 19 Switch Phyport 400 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 400 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 401 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 401 0 0x000000 0 0 0 63 19 401 1 0x000000 0 0 0 63 19 401 2 0x000000 0 0 0 63 19 401 3 0x000000 0 0 0 63 19 401 4 0x000000 0 0 0 63 19 401 5 0x000000 0 0 0 63 19 401 6 0x000000 0 0 0 63 19 401 7 0x000000 0 0 0 63 19 Switch Phyport 401 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 401 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 402 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 402 0 0x000000 0 0 0 63 19 402 1 0x000000 0 0 0 63 19 402 2 0x000000 0 0 0 63 19 402 3 0x000000 0 0 0 63 19 402 4 0x000000 0 0 0 63 19 402 5 0x000000 0 0 0 63 19 402 6 0x000000 0 0 0 63 19 402 7 0x000000 0 0 0 63 19 Switch Phyport 402 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 402 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 403 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 403 0 0x000000 0 0 0 63 19 403 1 0x000000 0 0 0 63 19 403 2 0x000000 0 0 0 63 19 403 3 0x000000 0 0 0 63 19 403 4 0x000000 0 0 0 63 19 403 5 0x000000 0 0 0 63 19 403 6 0x000000 0 0 0 63 19 403 7 0x000000 0 0 0 63 19 Switch Phyport 403 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 403 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 404 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 404 0 0x000000 0 0 0 63 19 404 1 0x000000 0 0 0 63 19 404 2 0x000000 0 0 0 63 19 404 3 0x000000 0 0 0 63 19 404 4 0x000000 0 0 0 63 19 404 5 0x000000 0 0 0 63 19 404 6 0x000000 0 0 0 63 19 404 7 0x000000 0 0 0 63 19 Switch Phyport 404 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 404 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 405 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 405 0 0x000000 0 0 0 63 19 405 1 0x000000 0 0 0 63 19 405 2 0x000000 0 0 0 63 19 405 3 0x000000 0 0 0 63 19 405 4 0x000000 0 0 0 63 19 405 5 0x000000 0 0 0 63 19 405 6 0x000000 0 0 0 63 19 405 7 0x000000 0 0 0 63 19 Switch Phyport 405 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 405 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 406 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 406 0 0x000000 0 0 0 63 19 406 1 0x000000 0 0 0 63 19 406 2 0x000000 0 0 0 63 19 406 3 0x000000 0 0 0 63 19 406 4 0x000000 0 0 0 63 19 406 5 0x000000 0 0 0 63 19 406 6 0x000000 0 0 0 63 19 406 7 0x000000 0 0 0 63 19 Switch Phyport 406 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 406 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 407 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 407 0 0x000000 0 0 0 63 19 407 1 0x000000 0 0 0 63 19 407 2 0x000000 0 0 0 63 19 407 3 0x000000 0 0 0 63 19 407 4 0x000000 0 0 0 63 19 407 5 0x000000 0 0 0 63 19 407 6 0x000000 0 0 0 63 19 407 7 0x000000 0 0 0 63 19 Switch Phyport 407 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 407 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 408 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 408 0 0x000000 0 0 0 63 19 408 1 0x000000 0 0 0 63 19 408 2 0x000000 0 0 0 63 19 408 3 0x000000 0 0 0 63 19 408 4 0x000000 0 0 0 63 19 408 5 0x000000 0 0 0 63 19 408 6 0x000000 0 0 0 63 19 408 7 0x000000 0 0 0 63 19 Switch Phyport 408 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 408 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 409 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 409 0 0x000000 0 0 0 63 19 409 1 0x000000 0 0 0 63 19 409 2 0x000000 0 0 0 63 19 409 3 0x000000 0 0 0 63 19 409 4 0x000000 0 0 0 63 19 409 5 0x000000 0 0 0 63 19 409 6 0x000000 0 0 0 63 19 409 7 0x000000 0 0 0 63 19 Switch Phyport 409 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 409 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 410 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 410 0 0x000000 0 0 0 63 19 410 1 0x000000 0 0 0 63 19 410 2 0x000000 0 0 0 63 19 410 3 0x000000 0 0 0 63 19 410 4 0x000000 0 0 0 63 19 410 5 0x000000 0 0 0 63 19 410 6 0x000000 0 0 0 63 19 410 7 0x000000 0 0 0 63 19 Switch Phyport 410 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 410 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 411 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 411 0 0x000000 0 0 0 63 19 411 1 0x000000 0 0 0 63 19 411 2 0x000000 0 0 0 63 19 411 3 0x000000 0 0 0 63 19 411 4 0x000000 0 0 0 63 19 411 5 0x000000 0 0 0 63 19 411 6 0x000000 0 0 0 63 19 411 7 0x000000 0 0 0 63 19 Switch Phyport 411 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 411 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 412 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 412 0 0x000000 0 0 0 63 19 412 1 0x000000 0 0 0 63 19 412 2 0x000000 0 0 0 63 19 412 3 0x000000 0 0 0 63 19 412 4 0x000000 0 0 0 63 19 412 5 0x000000 0 0 0 63 19 412 6 0x000000 0 0 0 63 19 412 7 0x000000 0 0 0 63 19 Switch Phyport 412 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 412 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 413 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 413 0 0x000000 0 0 0 63 19 413 1 0x000000 0 0 0 63 19 413 2 0x000000 0 0 0 63 19 413 3 0x000000 0 0 0 63 19 413 4 0x000000 0 0 0 63 19 413 5 0x000000 0 0 0 63 19 413 6 0x000000 0 0 0 63 19 413 7 0x000000 0 0 0 63 19 Switch Phyport 413 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 413 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 414 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 414 0 0x000000 0 0 0 63 19 414 1 0x000000 0 0 0 63 19 414 2 0x000000 0 0 0 63 19 414 3 0x000000 0 0 0 63 19 414 4 0x000000 0 0 0 63 19 414 5 0x000000 0 0 0 63 19 414 6 0x000000 0 0 0 63 19 414 7 0x000000 0 0 0 63 19 Switch Phyport 414 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 414 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 415 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 415 0 0x000000 0 0 0 63 19 415 1 0x000000 0 0 0 63 19 415 2 0x000000 0 0 0 63 19 415 3 0x000000 0 0 0 63 19 415 4 0x000000 0 0 0 63 19 415 5 0x000000 0 0 0 63 19 415 6 0x000000 0 0 0 63 19 415 7 0x000000 0 0 0 63 19 Switch Phyport 415 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 415 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 416 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 416 0 0x000000 0 0 0 63 19 416 1 0x000000 0 0 0 63 19 416 2 0x000000 0 0 0 63 19 416 3 0x000000 0 0 0 63 19 416 4 0x000000 0 0 0 63 19 416 5 0x000000 0 0 0 63 19 416 6 0x000000 0 0 0 63 19 416 7 0x000000 0 0 0 63 19 Switch Phyport 416 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 416 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 417 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 417 0 0x000000 0 0 0 63 19 417 1 0x000000 0 0 0 63 19 417 2 0x000000 0 0 0 63 19 417 3 0x000000 0 0 0 63 19 417 4 0x000000 0 0 0 63 19 417 5 0x000000 0 0 0 63 19 417 6 0x000000 0 0 0 63 19 417 7 0x000000 0 0 0 63 19 Switch Phyport 417 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 417 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 418 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 418 0 0x000000 0 0 0 63 19 418 1 0x000000 0 0 0 63 19 418 2 0x000000 0 0 0 63 19 418 3 0x000000 0 0 0 63 19 418 4 0x000000 0 0 0 63 19 418 5 0x000000 0 0 0 63 19 418 6 0x000000 0 0 0 63 19 418 7 0x000000 0 0 0 63 19 Switch Phyport 418 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 418 BusyStatus : OFF, PauseStatus : OFF Switch Phyport 419 Tx-Queue Software State Phyport TxQ BaseAddr Size Shape Share Mant. Exp. Mant. Exp. ------------------------------------------------- 419 0 0x000000 0 0 0 63 19 419 1 0x000000 0 0 0 63 19 419 2 0x000000 0 0 0 63 19 419 3 0x000000 0 0 0 63 19 419 4 0x000000 0 0 0 63 19 419 5 0x000000 0 0 0 63 19 419 6 0x000000 0 0 0 63 19 419 7 0x000000 0 0 0 63 19 Switch Phyport 419 PhyportControlTable Software State UntagNativeVlan : True TxVlanTagMode : Untagged Source Miss Control : L2SourceMissCopyToCpu PortVlanMap Miss Control : PortVlanMappingMissCtrlFwd PortVlanMapCustomerVlanEn : False VlanId : 4095 AggportId : 65535 TosTrusted : True CosTrusted : True CtrlPacketOverride : False Switch Phyport 419 BusyStatus : OFF, PauseStatus : OFF Software State for all Aggports ------------------------------- Aggport 8 Software State Phyports : 1 Member PhyportId(s) : Gi2/1(21) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 9 Software State Phyports : 1 Member PhyportId(s) : Gi2/2(20) VlanId : 1 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 10 Software State Phyports : 1 Member PhyportId(s) : Gi2/3(23) VlanId : 11 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 11 Software State Phyports : 1 Member PhyportId(s) : Gi2/4(22) VlanId : 1 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 12 Software State Phyports : 1 Member PhyportId(s) : Gi2/5(25) VlanId : 11 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 13 Software State Phyports : 1 Member PhyportId(s) : Gi2/6(24) VlanId : 126 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 14 Software State Phyports : 1 Member PhyportId(s) : Gi2/7(27) VlanId : 214 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 15 Software State Phyports : 1 Member PhyportId(s) : Gi2/8(26) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 16 Software State Phyports : 1 Member PhyportId(s) : Gi2/9(29) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 17 Software State Phyports : 1 Member PhyportId(s) : Gi2/10(28) VlanId : 214 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 18 Software State Phyports : 1 Member PhyportId(s) : Gi2/11(31) VlanId : 1 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 19 Software State Phyports : 1 Member PhyportId(s) : Gi2/12(30) VlanId : 1 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 20 Software State Phyports : 1 Member PhyportId(s) : Gi2/13(33) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 21 Software State Phyports : 1 Member PhyportId(s) : Gi2/14(32) VlanId : 214 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 22 Software State Phyports : 1 Member PhyportId(s) : Gi2/15(35) VlanId : 2923 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 23 Software State Phyports : 1 Member PhyportId(s) : Gi2/16(34) VlanId : 1 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 24 Software State Phyports : 1 Member PhyportId(s) : Gi2/17(37) VlanId : 11 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 25 Software State Phyports : 1 Member PhyportId(s) : Gi2/18(36) VlanId : 1 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 26 Software State Phyports : 1 Member PhyportId(s) : Gi2/19(39) VlanId : 1 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 27 Software State Phyports : 1 Member PhyportId(s) : Gi2/20(38) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 28 Software State Phyports : 1 Member PhyportId(s) : Gi2/21(41) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 29 Software State Phyports : 1 Member PhyportId(s) : Gi2/22(40) VlanId : 1 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 30 Software State Phyports : 1 Member PhyportId(s) : Gi2/23(43) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 31 Software State Phyports : 1 Member PhyportId(s) : Gi2/24(42) VlanId : 1 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 32 Software State Phyports : 1 Member PhyportId(s) : Gi2/25(45) VlanId : 214 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 33 Software State Phyports : 1 Member PhyportId(s) : Gi2/26(44) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 34 Software State Phyports : 1 Member PhyportId(s) : Gi2/27(47) VlanId : 2907 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 35 Software State Phyports : 1 Member PhyportId(s) : Gi2/28(46) VlanId : 214 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 36 Software State Phyports : 1 Member PhyportId(s) : Gi2/29(49) VlanId : 11 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 37 Software State Phyports : 1 Member PhyportId(s) : Gi2/30(48) VlanId : 2907 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 38 Software State Phyports : 1 Member PhyportId(s) : Gi2/31(51) VlanId : 2907 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 39 Software State Phyports : 1 Member PhyportId(s) : Gi2/32(50) VlanId : 2907 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 40 Software State Phyports : 1 Member PhyportId(s) : Gi2/33(53) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 41 Software State Phyports : 1 Member PhyportId(s) : Gi2/34(52) VlanId : 2907 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 42 Software State Phyports : 1 Member PhyportId(s) : Gi2/35(55) VlanId : 2907 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 43 Software State Phyports : 1 Member PhyportId(s) : Gi2/36(54) VlanId : 2907 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 44 Software State Phyports : 1 Member PhyportId(s) : Gi2/37(57) VlanId : 2923 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 45 Software State Phyports : 1 Member PhyportId(s) : Gi2/38(56) VlanId : 2907 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 46 Software State Phyports : 1 Member PhyportId(s) : Gi2/39(59) VlanId : 2923 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 47 Software State Phyports : 1 Member PhyportId(s) : Gi2/40(58) VlanId : 2923 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 48 Software State Phyports : 1 Member PhyportId(s) : Gi2/41(61) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 49 Software State Phyports : 1 Member PhyportId(s) : Gi2/42(60) VlanId : 2923 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 50 Software State Phyports : 1 Member PhyportId(s) : Gi2/43(63) VlanId : 11 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 51 Software State Phyports : 1 Member PhyportId(s) : Gi2/44(62) VlanId : 11 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 52 Software State Phyports : 1 Member PhyportId(s) : Gi2/45(65) VlanId : 2906 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 53 Software State Phyports : 1 Member PhyportId(s) : Gi2/46(64) VlanId : 2906 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 54 Software State Phyports : 1 Member PhyportId(s) : Gi2/47(67) VlanId : 2906 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 55 Software State Phyports : 1 Member PhyportId(s) : Gi2/48(66) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 56 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 57 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 58 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 59 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 60 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 61 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 62 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 63 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 64 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 65 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 66 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 67 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 68 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 69 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 70 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 71 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 72 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 73 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 74 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 75 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 76 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 77 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 78 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 79 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 80 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 81 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 82 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 83 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 84 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 85 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 86 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 87 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 88 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 89 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 90 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 91 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 92 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 93 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 94 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 95 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 96 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 97 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 98 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 99 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 100 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 101 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 102 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 103 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 104 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 105 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 106 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 107 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 108 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 109 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 110 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 111 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 112 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 113 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 114 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 115 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 116 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 117 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 118 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 119 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 120 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 121 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 122 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 123 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 124 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 125 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 126 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 127 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 128 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 129 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 130 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 131 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 132 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 133 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 134 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 135 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 136 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 137 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 138 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 139 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 140 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 141 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 142 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 143 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 144 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 145 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 146 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 147 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 148 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 149 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 150 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 151 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 152 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 153 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 154 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 155 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 156 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 157 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 158 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 159 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 160 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 161 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 162 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 163 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 164 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 165 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 166 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 167 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 168 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 169 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 170 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 65535 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 171 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 65535 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 172 Software State Phyports : 1 Member PhyportId(s) : Gi1/5(16) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 173 Software State Phyports : 1 Member PhyportId(s) : Gi1/6(18) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 174 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 175 Software State Phyports : 2 Member PhyportId(s) : Gi1/3(12) Gi1/4(14) VlanId : 1 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 176 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 177 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 178 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 179 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 180 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 181 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 182 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 183 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 184 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 185 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 186 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 187 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 188 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 189 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 190 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 191 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 192 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 193 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 194 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 195 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 196 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 197 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 198 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 199 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 200 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 201 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 202 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 203 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 204 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 205 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 206 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 207 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 208 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 209 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 210 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 211 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 212 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 213 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 214 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 215 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 216 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 217 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 218 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 219 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 220 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 221 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 222 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 223 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 224 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 225 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 226 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 227 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 228 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 229 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 230 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 231 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 232 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 233 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 234 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 235 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 236 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 237 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 238 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 239 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 240 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 241 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 242 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 243 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 244 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 245 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 246 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 247 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 248 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 249 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 250 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 251 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 252 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 253 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 254 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 255 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 256 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 257 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 258 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 259 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 260 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 261 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 262 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 263 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 264 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 265 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 266 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 267 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 268 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 269 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 270 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 271 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 272 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 273 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 274 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 275 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 276 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 277 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 278 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 279 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 280 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 281 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 282 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 283 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 284 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 285 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 286 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 287 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 288 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 289 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 290 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 291 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 292 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 293 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 294 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 295 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 296 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 297 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 298 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 299 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 300 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 301 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 302 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 303 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 304 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 305 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 306 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 307 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 308 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 309 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 310 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 311 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 312 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 313 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 314 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 315 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 316 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 317 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 318 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 319 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 320 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 321 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 322 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 323 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 324 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 325 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 326 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 327 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 328 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 329 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 330 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 331 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 332 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 333 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 334 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 335 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 336 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 337 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 338 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 339 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 340 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 341 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 342 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 343 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 344 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 345 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 346 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 347 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 348 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 349 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 350 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 351 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 352 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 353 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 354 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 355 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 356 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 357 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 358 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 359 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 360 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 361 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 362 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 363 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 364 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 365 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 366 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 367 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 368 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 369 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 370 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 371 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 372 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 373 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 374 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 375 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 376 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 377 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 378 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 379 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 380 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 381 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 382 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 383 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 384 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 385 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 386 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 387 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 388 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 389 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 390 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 391 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 392 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 393 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 394 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 395 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 396 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 397 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 398 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 399 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 400 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 401 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 402 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 403 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 404 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 405 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 406 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 407 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 408 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 409 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 410 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 411 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 412 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 413 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 414 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 415 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 416 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 417 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 418 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 419 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 420 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 421 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 422 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 423 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 424 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 425 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 426 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 427 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 428 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 429 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 430 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 431 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 432 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 433 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 434 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 435 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 436 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 437 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 438 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 439 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 440 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 441 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 442 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 443 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 444 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 445 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 446 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 447 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 448 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 449 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 450 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 451 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 452 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 453 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 454 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 455 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 456 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 457 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 458 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 459 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 460 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 461 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 462 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 463 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 464 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 465 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 466 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 467 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 468 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 469 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 470 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 471 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 472 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 473 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 474 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 475 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 476 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 477 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 478 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 479 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 480 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 481 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 482 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 483 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 484 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 485 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 486 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 487 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 488 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 489 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 490 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 491 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 492 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 493 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 494 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 495 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 496 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 497 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 498 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 499 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 500 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 501 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 502 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 503 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 504 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 505 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 506 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 507 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 508 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 509 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 510 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 511 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 512 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 513 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 514 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 515 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 516 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 517 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 518 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 519 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 520 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 521 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 522 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 523 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 524 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 525 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 526 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 527 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 528 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 529 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 530 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 531 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 532 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 533 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 534 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 535 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 536 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 537 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 538 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 539 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 540 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 541 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 542 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 543 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 544 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 545 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 546 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 547 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 548 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 549 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 550 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 551 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 552 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 553 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 554 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 555 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 556 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 557 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 558 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 559 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 560 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 561 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 562 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 563 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 564 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 565 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 566 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 567 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 568 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 569 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 570 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 571 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 572 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 573 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 574 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 575 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 576 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 577 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 578 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 579 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 580 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 581 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 582 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 583 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 584 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 585 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 586 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 587 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 588 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 589 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 590 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 591 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 592 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 593 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 594 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 595 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 596 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 597 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 598 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 599 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 600 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 601 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 602 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 603 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 604 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 605 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 606 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 607 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 608 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 609 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 610 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 611 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 612 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 613 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 614 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 615 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 616 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 617 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 618 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 619 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 620 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 621 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 622 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 623 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 624 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 625 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 626 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 627 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 628 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 629 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 630 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 631 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 632 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 633 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 634 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 635 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 636 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 637 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 638 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 639 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 640 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 641 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 642 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 643 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 644 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 645 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 646 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 647 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 648 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 649 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 650 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 651 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 652 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 653 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 654 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 655 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 656 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 657 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 658 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Aggport 659 Software State Phyports : 0 Member PhyportId(s) : Drop/Bogus Port(0) VlanId : 4095 ExplicitCongNotification : Disabled VlanTagStripEn : Enabled ParseTaggedPacket : Disabled Superport Software State For All Interfaces ------------------------------------------ PreEmphasis Settings: SuperportId : 16 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 0 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport16(Gi2/1-6) Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 EthConfigMode : ConfigurationModeForced EthFlowControlMode : FlowControlModeNone EthDuplex : DuplexFull EthLineSpeed : LineSpeed1Gbps ReceivingLight : Unknown LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 41m 10s LastLinkDownDuration : 6s 500ms Pause : Disabled Control Header Type : K10 SCH in Preamble Ignore Subport Busy : False IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 3 Tx IPG : 10 Group ID : 4 Group Mode : SingleSerdes Superport16(Gi2/1-6) Non-Zero Software Statistics RxPackets64 : 871 TxPackets64 : 73876 RxPackets65to127 : 6077 TxPackets65to127 : 15130 RxPackets128to255 : 1756 TxPackets128to255 : 6554 RxPackets256to511 : 556 TxPackets256to511 : 2274 RxPackets512to1023 : 73 TxPackets512to1023 : 521 RxPackets1024to1518 : 343 TxPackets1024to1518 : 317 RxPackets1519to1548 : 4 RxMcastPackets : 920 TxMcastPackets : 4331 RxUcastPackets : 8395 TxUcastPackets : 8587 RxBcastPackets : 365 TxBcastPackets : 85754 RxGoodBytes : 1581085 TxBytes : 9181338 PreEmphasis Settings: SuperportId : 17 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 1 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport17(Gi2/7-12) Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 EthConfigMode : ConfigurationModeForced EthFlowControlMode : FlowControlModeNone EthDuplex : DuplexFull EthLineSpeed : LineSpeed1Gbps ReceivingLight : Unknown LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 41m 10s LastLinkDownDuration : 6s 500ms Pause : Disabled Control Header Type : K10 SCH in Preamble Ignore Subport Busy : False IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 3 Tx IPG : 10 Group ID : 4 Group Mode : SingleSerdes Superport17(Gi2/7-12) Non-Zero Software Statistics RxPackets64 : 16648 TxPackets64 : 5996 RxPackets65to127 : 10820 TxPackets65to127 : 12567 RxPackets128to255 : 8219 TxPackets128to255 : 16962 RxPackets256to511 : 5487 TxPackets256to511 : 8101 RxPackets512to1023 : 1627 TxPackets512to1023 : 1976 RxPackets1024to1518 : 1474 TxPackets1024to1518 : 5242 RxPackets1519to1548 : 2 RxMcastPackets : 2834 TxMcastPackets : 6586 RxUcastPackets : 39800 TxUcastPackets : 37336 RxBcastPackets : 1643 TxBcastPackets : 6922 RxGoodBytes : 8279685 TxBytes : 16231285 PreEmphasis Settings: SuperportId : 18 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 2 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport18(Gi2/13-18) Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 EthConfigMode : ConfigurationModeForced EthFlowControlMode : FlowControlModeNone EthDuplex : DuplexFull EthLineSpeed : LineSpeed1Gbps ReceivingLight : Unknown LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 41m 10s LastLinkDownDuration : 6s 500ms Pause : Disabled Control Header Type : K10 SCH in Preamble Ignore Subport Busy : False IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 3 Tx IPG : 10 Group ID : 4 Group Mode : SingleSerdes Superport18(Gi2/13-18) Non-Zero Software Statistics RxPackets64 : 7869 TxPackets64 : 49320 RxPackets65to127 : 10294 TxPackets65to127 : 37997 RxPackets128to255 : 4343 TxPackets128to255 : 6170 RxPackets256to511 : 1978 TxPackets256to511 : 3815 RxPackets512to1023 : 894 TxPackets512to1023 : 1135 RxPackets1024to1518 : 911 TxPackets1024to1518 : 2915 RxPackets1519to1548 : 4 RxMcastPackets : 2408 TxMcastPackets : 5077 RxUcastPackets : 22431 TxUcastPackets : 41866 RxBcastPackets : 1454 TxBcastPackets : 54409 RxGoodBytes : 4737388 TxBytes : 14830514 PreEmphasis Settings: SuperportId : 19 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 3 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport19(Gi2/19-24) Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 EthConfigMode : ConfigurationModeForced EthFlowControlMode : FlowControlModeNone EthDuplex : DuplexFull EthLineSpeed : LineSpeed1Gbps ReceivingLight : Unknown LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 41m 10s LastLinkDownDuration : 6s 499ms Pause : Disabled Control Header Type : K10 SCH in Preamble Ignore Subport Busy : False IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 3 Tx IPG : 10 Group ID : 4 Group Mode : SingleSerdes Superport19(Gi2/19-24) Non-Zero Software Statistics TxPackets64 : 33 RxPackets65to127 : 2961 TxPackets65to127 : 2020 RxPackets128to255 : 569 TxPackets128to255 : 6 RxPackets256to511 : 4 TxPackets256to511 : 941 RxPackets512to1023 : 16 TxPackets512to1023 : 140 RxPackets1519to1548 : 4 RxMcastPackets : 573 TxMcastPackets : 944 RxUcastPackets : 2949 TxUcastPackets : 1853 RxBcastPackets : 32 TxBcastPackets : 343 RxGoodBytes : 319136 TxBytes : 670301 PreEmphasis Settings: SuperportId : 24 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 0 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport24(Gi2/25-30) Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 EthConfigMode : ConfigurationModeForced EthFlowControlMode : FlowControlModeNone EthDuplex : DuplexFull EthLineSpeed : LineSpeed1Gbps ReceivingLight : Unknown LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 41m 10s LastLinkDownDuration : 6s 499ms Pause : Disabled Control Header Type : K10 SCH in Preamble Ignore Subport Busy : False IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 3 Tx IPG : 10 Group ID : 6 Group Mode : SingleSerdes Superport24(Gi2/25-30) Non-Zero Software Statistics RxPackets64 : 48830 TxPackets64 : 1465894 RxPackets65to127 : 56039 TxPackets65to127 : 16272 RxPackets128to255 : 491876 TxPackets128to255 : 403041 RxPackets256to511 : 512329 TxPackets256to511 : 311192 RxPackets512to1023 : 233657 TxPackets512to1023 : 31433 RxPackets1024to1518 : 2152463 TxPackets1024to1518 : 27910 RxMcastPackets : 2328 TxMcastPackets : 20905 RxUcastPackets : 3490627 TxUcastPackets : 2131509 RxBcastPackets : 2239 TxBcastPackets : 103328 RxGoodBytes : 3718897957 TxBytes : 337613163 PreEmphasis Settings: SuperportId : 25 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 1 Config PreEmphasis : 4 Operating PreEmphasis :4 Tx Serdes Ctrl Reg : 0x3E98800 (txAmpl : 15) Superport25(Gi2/31-36) Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 EthConfigMode : ConfigurationModeForced EthFlowControlMode : FlowControlModeNone EthDuplex : DuplexFull EthLineSpeed : LineSpeed1Gbps ReceivingLight : Unknown LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 41m 10s LastLinkDownDuration : 6s 499ms Pause : Disabled Control Header Type : K10 SCH in Preamble Ignore Subport Busy : False IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 3 Tx IPG : 10 Group ID : 6 Group Mode : SingleSerdes Superport25(Gi2/31-36) Non-Zero Software Statistics RxPackets64 : 7552 TxPackets64 : 5989914 RxPackets65to127 : 189358 TxPackets65to127 : 4746 RxPackets128to255 : 178510 TxPackets128to255 : 315 RxPackets256to511 : 712528 TxPackets256to511 : 16875 RxPackets512to1023 : 1027658 TxPackets512to1023 : 15396 RxPackets1024to1518 : 9341018 TxPackets1024to1518 : 172 RxMcastPackets : 916 TxMcastPackets : 36214 RxUcastPackets : 11455673 TxUcastPackets : 5815299 RxBcastPackets : 35 TxBcastPackets : 175905 RxGoodBytes : 15477220932 TxBytes : 404612618 PreEmphasis Settings: SuperportId : 26 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 2 Config PreEmphasis : 8 Operating PreEmphasis :8 Tx Serdes Ctrl Reg : 0x3F18800 (txAmpl : 15) Superport26(Gi2/37-42) Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 EthConfigMode : ConfigurationModeForced EthFlowControlMode : FlowControlModeNone EthDuplex : DuplexFull EthLineSpeed : LineSpeed1Gbps ReceivingLight : Unknown LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 41m 10s LastLinkDownDuration : 6s 499ms Pause : Disabled Control Header Type : K10 SCH in Preamble Ignore Subport Busy : False IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 3 Tx IPG : 10 Group ID : 6 Group Mode : SingleSerdes Superport26(Gi2/37-42) Non-Zero Software Statistics RxPackets64 : 7994 TxPackets64 : 2427938 RxPackets65to127 : 4275 TxPackets65to127 : 104189 RxPackets128to255 : 67864 TxPackets128to255 : 1126 RxPackets256to511 : 350784 TxPackets256to511 : 4647 RxPackets512to1023 : 170425 TxPackets512to1023 : 3110 RxPackets1024to1518 : 3942607 TxPackets1024to1518 : 48 RxMcastPackets : 3618 TxMcastPackets : 8587 RxUcastPackets : 4539176 TxUcastPackets : 2405202 RxBcastPackets : 1155 TxBcastPackets : 127269 RxGoodBytes : 6281038689 TxBytes : 173052591 PreEmphasis Settings: SuperportId : 27 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 3 Config PreEmphasis : 7 Operating PreEmphasis :7 Tx Serdes Ctrl Reg : 0x3EF8800 (txAmpl : 15) Superport27(Gi2/43-48) Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 EthConfigMode : ConfigurationModeForced EthFlowControlMode : FlowControlModeNone EthDuplex : DuplexFull EthLineSpeed : LineSpeed1Gbps ReceivingLight : Unknown LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 41m 10s LastLinkDownDuration : 6s 499ms Pause : Disabled Control Header Type : K10 SCH in Preamble Ignore Subport Busy : False IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 3 Tx IPG : 10 Group ID : 6 Group Mode : SingleSerdes Superport27(Gi2/43-48) Non-Zero Software Statistics RxPackets64 : 19676 TxPackets64 : 95653 RxPackets65to127 : 28264 TxPackets65to127 : 35791 RxPackets128to255 : 535 TxPackets128to255 : 3241 RxPackets256to511 : 32 TxPackets256to511 : 1887 RxPackets512to1023 : 7 TxPackets512to1023 : 286 RxPackets1024to1518 : 18 TxPackets1024to1518 : 66 RxMcastPackets : 661 TxMcastPackets : 2721 RxUcastPackets : 47031 TxUcastPackets : 72881 RxBcastPackets : 840 TxBcastPackets : 61322 RxGoodBytes : 3455472 TxBytes : 10665131 PreEmphasis Settings: SuperportId : 29 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 1 Config PreEmphasis : 1 Operating PreEmphasis :1 Tx Serdes Ctrl Reg : 0x3E38800 (txAmpl : 15) Superport29(Gi1/3) Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Enabled MacLinkFaultStatusReg : 0x00000000 RxConfigWord : 0x4120 (FullDuplex AsymmPause Ack) TxConfigWord : 0x01A0 (FullDuplex PauseCapable AsymmPause) RxConfigWordReg : 0x010A4120 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) EthConfigMode : ConfigurationModeAuto EthFlowControlMode : FlowControlModeRecvOnly EthDuplex : DuplexFull EthLineSpeed : LineSpeed1Gbps ReceivingLight : True LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 40m 41s LastLinkDownDuration : 2s 102ms Pause : Enabled Control Header Type : NPU FCH in Preamble Ignore Subport Busy : True IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 8 Tx IPG : 10 Group ID : 7 Group Mode : SingleSerdes Superport29(Gi1/3) Non-Zero Software Statistics RxPackets64 : 565 TxPackets64 : 567 RxPackets65to127 : 169709 TxPackets65to127 : 145674 RxPackets128to255 : 41383 TxPackets128to255 : 288609 RxPackets256to511 : 76141 TxPackets256to511 : 214731 RxPackets512to1023 : 10239 TxPackets512to1023 : 847725 RxPackets1024to1518 : 3341 TxPackets1024to1518 : 86563 RxPackets1519to1548 : 1831 TxPackets1519to1548 : 4467939 RxMcastPackets : 23897 TxMcastPackets : 4232 RxUcastPackets : 196066 TxUcastPackets : 6043900 RxBcastPackets : 83246 TxBcastPackets : 3674 RxGoodBytes : 68247412 TxBytes : 7820537300 PreEmphasis Settings: SuperportId : 30 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 2 Config PreEmphasis : 1 Operating PreEmphasis :1 Tx Serdes Ctrl Reg : 0x3E38800 (txAmpl : 15) Superport30(Gi1/4) Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Enabled MacLinkFaultStatusReg : 0x00000000 RxConfigWord : 0x0120 (FullDuplex AsymmPause) TxConfigWord : 0x01A0 (FullDuplex PauseCapable AsymmPause) RxConfigWordReg : 0x010A0120 ( Sync[sticky] RxIdle/Data RxIdle Sync10ms Sync10ms[stickyLow] ) EthConfigMode : ConfigurationModeAuto EthFlowControlMode : FlowControlModeRecvOnly EthDuplex : DuplexFull EthLineSpeed : LineSpeed1Gbps ReceivingLight : True LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 40m 40s LastLinkDownDuration : 299ms Pause : Enabled Control Header Type : NPU FCH in Preamble Ignore Subport Busy : True IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 8 Tx IPG : 10 Group ID : 7 Group Mode : SingleSerdes Superport30(Gi1/4) Non-Zero Software Statistics RxPackets64 : 8861 TxPackets64 : 564 RxPackets65to127 : 10977726 TxPackets65to127 : 113237 RxPackets128to255 : 405531 TxPackets128to255 : 613576 RxPackets256to511 : 248172 TxPackets256to511 : 1369382 RxPackets512to1023 : 21520 TxPackets512to1023 : 588082 RxPackets1024to1518 : 14457 TxPackets1024to1518 : 101949 RxPackets1519to1548 : 16598 TxPackets1519to1548 : 10785343 RxMcastPackets : 1213572 TxMcastPackets : 4919 RxUcastPackets : 10317579 TxUcastPackets : 13564174 RxBcastPackets : 161714 TxBcastPackets : 3040 RxGoodBytes : 971264779 TxBytes : 17753199285 PreEmphasis Settings: SuperportId : 58 HssCtrl Reg : 0x280 (hssCalDrv : 5) LaneId Config PreEmphasis Oper PreEmphasis TxSerdesCtrl Reg (TxAmpl) 0 4 0 0x2C18800(6) 1 1 0 0x2C18800(6) 2 1 0 0x2C18800(6) 3 1 0 0x2C18800(6) Superport58() Software Mac State Superport Mac Link State : Up Sw Link State : Up Sw LinkNeg State : WaitingForLinkDown AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 EthConfigMode : ConfigurationModeForced EthFlowControlMode : FlowControlModeNone EthDuplex : DuplexFull EthLineSpeed : LineSpeed10Gbps ReceivingLight : Unknown LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkUpDuration : 4h 41m 52s LastLinkDownDuration : 202ms Pause : Enabled Control Header Type : FCH in Preamble Ignore Subport Busy : True IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 9220 Rx Minimum IPG : 6 Tx IPG : 12 Group ID : 16 Group Mode : Xaui Superport58() Non-Zero Software Statistics TxPackets64 : 11344 RxPackets65to127 : 10223 TxPackets65to127 : 103495 RxPackets128to255 : 4100 TxPackets128to255 : 5088 RxPackets256to511 : 11724 TxPackets256to511 : 5704 RxPackets512to1023 : 1857 TxPackets512to1023 : 77 RxPackets1024to1518 : 68 TxPackets1024to1518 : 32 RxPackets1519to1548 : 10 TxPackets1519to1548 : 10 RxMcastPackets : 14873 TxMcastPackets : 112789 RxUcastPackets : 12462 TxUcastPackets : 9837 RxBcastPackets : 645 TxBcastPackets : 3124 RxGoodBytes : 8447202 TxBytes : 11618835 PreEmphasis Settings: SuperportId : 59 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 0 Config PreEmphasis : 1 Operating PreEmphasis :1 Tx Serdes Ctrl Reg : 0x3E38800 (txAmpl : 15) Superport59(Gi1/5) Software Mac State Superport Mac Link State : Down Sw Link State : Down Sw LinkNeg State : LinkDisabled AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x00000000 ( Sync[sticky] RxIdle/Data NotRxIdle LostSync10ms Sync10ms[stickyLow] ) EthConfigMode : ConfigurationModeDisabled EthRemoteFaultIndication : FaultIndicationNull EthNegotiationStatus : NegotiationStatusError EthNegotiationError : NegotiationErrorLocalDisabledByUser EthFlowControlMode : FlowControlModeNull EthDuplex : DuplexNull EthLineSpeed : LineSpeedNull ReceivingLight : False LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkDownDuration : 4h 42m 8s Pause : Enabled Control Header Type : NPU FCH in Preamble Ignore Subport Busy : True IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 8 Tx IPG : 10 Group ID : 17 Group Mode : SingleSerdes Superport59(Gi1/5) Non-Zero Software Statistics PreEmphasis Settings: SuperportId : 60 HssCtrl Reg : 0x280 (hssCalDrv : 5) Superport Lane Id : 1 Config PreEmphasis : 1 Operating PreEmphasis :1 Tx Serdes Ctrl Reg : 0x3E38800 (txAmpl : 15) Superport60(Gi1/6) Software Mac State Superport Mac Link State : Down Sw Link State : Down Sw LinkNeg State : LinkDisabled AutoNegotiation : Disabled MacLinkFaultStatusReg : 0x00000000 RxConfigWord : 0x0000 TxConfigWord : 0x0000 RxConfigWordReg : 0x00000000 ( Sync[sticky] RxIdle/Data NotRxIdle LostSync10ms Sync10ms[stickyLow] ) EthConfigMode : ConfigurationModeDisabled EthRemoteFaultIndication : FaultIndicationNull EthNegotiationStatus : NegotiationStatusError EthNegotiationError : NegotiationErrorLocalDisabledByUser EthFlowControlMode : FlowControlModeNull EthDuplex : DuplexNull EthLineSpeed : LineSpeedNull ReceivingLight : False LinkDownEvents : 0 LinkUpButSignalErrorsCount (10GE) : 0 XgxsResetAfterLinkUpOnCodeErrors (10GE) : 0 XgxsResetNoLaneSync (10GE) : 0 XgxsResetNoLaneAlign (10GE) : 0 XgxsResetOnLinkDown (10GE) : 0 XgxsResetWhileLinkUp (10GE) : 0 LinkDownDuration : 4h 42m 8s Pause : Enabled Control Header Type : NPU FCH in Preamble Ignore Subport Busy : True IgnoreLossOfSignal : True Tx-Queue Dequeue : Enabled RxOversizePacketThreshold : 1518 Rx Minimum IPG : 8 Tx IPG : 10 Group ID : 17 Group Mode : SingleSerdes Superport60(Gi1/6) Non-Zero Software Statistics All stub related info for all interfaces: GalGlmPortGroups - Count : 2 GalGlmPortGroup(0:N-0), Active? : true, CurrentUplinkMode : SupervisorUplinkK2Like, CurrentRedundantMode : SupervisorLocalNonRedundant, CurrentConnectorType : Gbic NumBackplanePortGroups : 3, NumStubPortGroups : 0, NumGlmPorts : 3 NumActiveBackplanePortGroups : 2, NumActiveStubPortGroups : 0, NumActiveGlmPorts : 2 PortGroupDesc : UplinkMode : SupervisorUplinkK2Like, RedundantMode : SupervisorLocalNonRedundant, DefaultConnectorType : X2, EthPhyLedGroupId : 255 BackplanePortGroups : BackplanePortGroup( 0, X2(0) ) - Active? : false BackplanePortGroup( 2, SFP(2) ) - Active? : true BackplanePortGroup( 3, SFP(3) ) - Active? : true StubPortGroups : GlmPorts : GalGlmPort(0:N/0) - Active? : false GalGlmPort(0:N/2) - Active? : true GalGlmPort(0:N/3) - Active? : true GalGlmPortGroup(0:N-1), Active? : true, CurrentUplinkMode : SupervisorUplinkK2Like, CurrentRedundantMode : SupervisorLocalNonRedundant, CurrentConnectorType : Gbic NumBackplanePortGroups : 3, NumStubPortGroups : 0, NumGlmPorts : 3 NumActiveBackplanePortGroups : 2, NumActiveStubPortGroups : 0, NumActiveGlmPorts : 2 PortGroupDesc : UplinkMode : SupervisorUplinkK2Like, RedundantMode : SupervisorLocalNonRedundant, DefaultConnectorType : X2, EthPhyLedGroupId : 255 BackplanePortGroups : BackplanePortGroup( 1, X2(1) ) - Active? : false BackplanePortGroup( 4, SFP(4) ) - Active? : true BackplanePortGroup( 5, SFP(5) ) - Active? : true StubPortGroups : GlmPorts : GalGlmPort(0:N/1) - Active? : false GalGlmPort(0:N/4) - Active? : true GalGlmPort(0:N/5) - Active? : true GalGlmPorts - Count : 6 GalGlmPort(0:N/0), Active? : false, PimPhyport Name : Te1/1, EpmPortMan Name : EpmPortMan(0:N/0) GalGlmPortDesc : HalGalPortId : 0 HalGlmEthPhyLedGroupId : 255, ConnectorType : X2, SchSubportId : 65535, GlmPortGroupId : 0 LinkManagedType : UpstreamAsic, LedManagedType : BridgeFpga GalGlmPortGroup(0:N-0), Active? : true BackplanePortGroup : BackplanePortGroup( 0, X2(0) ), Active? : false GalGlmPortLedEntry : HalGlmPortLedId : 0, HalGalPortId : 0 Name( EpmPortMan(0:N/0) ), PimPhyport name( Te1/1 ) PimPhyport opMode: 2 PimPhyport opEnabled: false PimPhyport configSuspended: true PimPhyport configEnabled: true RunMode( 2 ) OpRunMode( 2 )ReviewState( DisableAllComplete ) LastReviewState( Null ) opModeDisabled: false LinkControllerMan ReviewState : Null CiscoDpmDiscoveryControllerMan ReviewState : Null IeeeDpmDiscoveryControllerMan ReviewState : Null InlinePowerController ReviewState : Null StatsCollector ReviewState : Null CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscoveryConfigMode( Off ), IeeeDpmDiscoveryOpMode( Off ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeDisabled FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[] DuplexModes[Full] ClockModeNull No X2 LoopbackOff FaultIndicationDisabledByUser 0 Debounce0ms Efficient Energy Ethernet N/A LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(0:N/1), Active? : false, PimPhyport Name : Te1/2, EpmPortMan Name : EpmPortMan(0:N/1) GalGlmPortDesc : HalGalPortId : 1 HalGlmEthPhyLedGroupId : 255, ConnectorType : X2, SchSubportId : 65535, GlmPortGroupId : 1 LinkManagedType : UpstreamAsic, LedManagedType : BridgeFpga GalGlmPortGroup(0:N-1), Active? : true BackplanePortGroup : BackplanePortGroup( 1, X2(1) ), Active? : false GalGlmPortLedEntry : HalGlmPortLedId : 1, HalGalPortId : 1 Name( EpmPortMan(0:N/1) ), PimPhyport name( Te1/2 ) PimPhyport opMode: 2 PimPhyport opEnabled: false PimPhyport configSuspended: true PimPhyport configEnabled: true RunMode( 2 ) OpRunMode( 2 )ReviewState( DisableAllComplete ) LastReviewState( Null ) opModeDisabled: false LinkControllerMan ReviewState : Null CiscoDpmDiscoveryControllerMan ReviewState : Null IeeeDpmDiscoveryControllerMan ReviewState : Null InlinePowerController ReviewState : Null StatsCollector ReviewState : Null CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscoveryConfigMode( Off ), IeeeDpmDiscoveryOpMode( Off ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeDisabled FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[] DuplexModes[Full] ClockModeNull No X2 LoopbackOff FaultIndicationDisabledByUser 0 Debounce0ms Efficient Energy Ethernet N/A LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(0:N/2), Active? : true, PimPhyport Name : Gi1/3, EpmPortMan Name : EpmPortMan(0:N/2) GalGlmPortDesc : HalGalPortId : 2 HalGlmEthPhyLedGroupId : 255, ConnectorType : Gbic, SchSubportId : 65535, GlmPortGroupId : 0 LinkManagedType : UpstreamAsic, LedManagedType : BridgeFpga GalGlmPortGroup(0:N-0), Active? : true BackplanePortGroup : BackplanePortGroup( 2, SFP(2) ), Active? : true GalGlmPortLedEntry : HalGlmPortLedId : 2, HalGalPortId : 2 Name( EpmPortMan(0:N/2) ), PimPhyport name( Gi1/3 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( Begin ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : Null IeeeDpmDiscoveryControllerMan ReviewState : Null InlinePowerController ReviewState : Null StatsCollector ReviewState : ReadStats CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscoveryConfigMode( Off ), IeeeDpmDiscoveryOpMode( Off ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[1Gbps] DuplexModes[Full] ClockModeNull Gbic 1000BaseSX LoopbackOff FaultIndicationNone 0 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeRecvOnly LineSpeed1Gbps DuplexFull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(0:N/3), Active? : true, PimPhyport Name : Gi1/4, EpmPortMan Name : EpmPortMan(0:N/3) GalGlmPortDesc : HalGalPortId : 3 HalGlmEthPhyLedGroupId : 255, ConnectorType : Gbic, SchSubportId : 65535, GlmPortGroupId : 0 LinkManagedType : UpstreamAsic, LedManagedType : BridgeFpga GalGlmPortGroup(0:N-0), Active? : true BackplanePortGroup : BackplanePortGroup( 3, SFP(3) ), Active? : true GalGlmPortLedEntry : HalGlmPortLedId : 3, HalGalPortId : 3 Name( EpmPortMan(0:N/3) ), PimPhyport name( Gi1/4 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( Begin ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : Null IeeeDpmDiscoveryControllerMan ReviewState : Null InlinePowerController ReviewState : Null StatsCollector ReviewState : ReadStats CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscoveryConfigMode( Off ), IeeeDpmDiscoveryOpMode( Off ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[1Gbps] DuplexModes[Full] ClockModeNull Gbic 1000BaseSX LoopbackOff FaultIndicationNone 0 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeRecvOnly LineSpeed1Gbps DuplexFull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(0:N/4), Active? : true, PimPhyport Name : Gi1/5, EpmPortMan Name : EpmPortMan(0:N/4) GalGlmPortDesc : HalGalPortId : 4 HalGlmEthPhyLedGroupId : 255, ConnectorType : Gbic, SchSubportId : 65535, GlmPortGroupId : 1 LinkManagedType : UpstreamAsic, LedManagedType : BridgeFpga GalGlmPortGroup(0:N-1), Active? : true BackplanePortGroup : BackplanePortGroup( 4, SFP(4) ), Active? : true GalGlmPortLedEntry : HalGlmPortLedId : 4, HalGalPortId : 4 Name( EpmPortMan(0:N/4) ), PimPhyport name( Gi1/5 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( DisableAllComplete ) LastReviewState( WaitDisableAll ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : Null IeeeDpmDiscoveryControllerMan ReviewState : Null InlinePowerController ReviewState : Null StatsCollector ReviewState : Null CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscoveryConfigMode( Off ), IeeeDpmDiscoveryOpMode( Off ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeDisabled FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[1Gbps] DuplexModes[Full] ClockModeNull No Gbic LoopbackOff FaultIndicationDisabledByUser 0 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(0:N/5), Active? : true, PimPhyport Name : Gi1/6, EpmPortMan Name : EpmPortMan(0:N/5) GalGlmPortDesc : HalGalPortId : 5 HalGlmEthPhyLedGroupId : 255, ConnectorType : Gbic, SchSubportId : 65535, GlmPortGroupId : 1 LinkManagedType : UpstreamAsic, LedManagedType : BridgeFpga GalGlmPortGroup(0:N-1), Active? : true BackplanePortGroup : BackplanePortGroup( 5, SFP(5) ), Active? : true GalGlmPortLedEntry : HalGlmPortLedId : 5, HalGalPortId : 5 Name( EpmPortMan(0:N/5) ), PimPhyport name( Gi1/6 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( DisableAllComplete ) LastReviewState( WaitDisableAll ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : Null IeeeDpmDiscoveryControllerMan ReviewState : Null InlinePowerController ReviewState : Null StatsCollector ReviewState : Null CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscoveryConfigMode( Off ), IeeeDpmDiscoveryOpMode( Off ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeDisabled FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[1Gbps] DuplexModes[Full] ClockModeNull No Gbic LoopbackOff FaultIndicationDisabledByUser 0 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPortGroups - Count : 0 GalGlmPorts - Count : 48 GalGlmPort(1:N/0), Active? : true, PimPhyport Name : Gi2/1, EpmPortMan Name : EpmPortMan(1:N/0) GalGlmPortDesc : HalGalPortId : 0 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 1, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 0 StubSubPortIds : { 1, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 1, EthPhyGroupId : 0, EthPhyGroupPortId : 1, GalGlmPortId : 0 GalGlmStubPortLedEntry : 1AC8F55C Name( EpmPortMan(1:N/0) ), PimPhyport name( Gi2/1 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForDpmDiscoveryResults ) LastReviewState( Begin ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( On ), CiscoDpmDiscoveryOpMode( On ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(1:N/1), Active? : true, PimPhyport Name : Gi2/2, EpmPortMan Name : EpmPortMan(1:N/1) GalGlmPortDesc : HalGalPortId : 1 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 0, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 1 StubSubPortIds : { 0, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 0, EthPhyGroupId : 0, EthPhyGroupPortId : 0, GalGlmPortId : 1 GalGlmStubPortLedEntry : 1AC8F66C Name( EpmPortMan(1:N/1) ), PimPhyport name( Gi2/2 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/2), Active? : true, PimPhyport Name : Gi2/3, EpmPortMan Name : EpmPortMan(1:N/2) GalGlmPortDesc : HalGalPortId : 2 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 3, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 2 StubSubPortIds : { 3, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 3, EthPhyGroupId : 0, EthPhyGroupPortId : 3, GalGlmPortId : 2 GalGlmStubPortLedEntry : 1AC8F77C Name( EpmPortMan(1:N/2) ), PimPhyport name( Gi2/3 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/3), Active? : true, PimPhyport Name : Gi2/4, EpmPortMan Name : EpmPortMan(1:N/3) GalGlmPortDesc : HalGalPortId : 3 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 2, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 3 StubSubPortIds : { 2, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 2, EthPhyGroupId : 0, EthPhyGroupPortId : 2, GalGlmPortId : 3 GalGlmStubPortLedEntry : 1AC8F88C Name( EpmPortMan(1:N/3) ), PimPhyport name( Gi2/4 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/4), Active? : true, PimPhyport Name : Gi2/5, EpmPortMan Name : EpmPortMan(1:N/4) GalGlmPortDesc : HalGalPortId : 4 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 5, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 4 StubSubPortIds : { 5, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 5, EthPhyGroupId : 0, EthPhyGroupPortId : 5, GalGlmPortId : 4 GalGlmStubPortLedEntry : 1AC8F99C Name( EpmPortMan(1:N/4) ), PimPhyport name( Gi2/5 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed1Gbps DuplexFull ClockModeSlave FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/5), Active? : true, PimPhyport Name : Gi2/6, EpmPortMan Name : EpmPortMan(1:N/5) GalGlmPortDesc : HalGalPortId : 5 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 4, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 5 StubSubPortIds : { 4, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 4, EthPhyGroupId : 0, EthPhyGroupPortId : 4, GalGlmPortId : 5 GalGlmStubPortLedEntry : 1AC8FAAC Name( EpmPortMan(1:N/5) ), PimPhyport name( Gi2/6 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/6), Active? : true, PimPhyport Name : Gi2/7, EpmPortMan Name : EpmPortMan(1:N/6) GalGlmPortDesc : HalGalPortId : 6 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 1, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 1, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 6 StubSubPortIds : { 7, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 7, EthPhyGroupId : 0, EthPhyGroupPortId : 7, GalGlmPortId : 6 GalGlmStubPortLedEntry : 1AC8FBBC Name( EpmPortMan(1:N/6) ), PimPhyport name( Gi2/7 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed1Gbps DuplexFull ClockModeSlave FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/7), Active? : true, PimPhyport Name : Gi2/8, EpmPortMan Name : EpmPortMan(1:N/7) GalGlmPortDesc : HalGalPortId : 7 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 0, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 1, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 7 StubSubPortIds : { 6, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 6, EthPhyGroupId : 0, EthPhyGroupPortId : 6, GalGlmPortId : 7 GalGlmStubPortLedEntry : 1AC8FCCC Name( EpmPortMan(1:N/7) ), PimPhyport name( Gi2/8 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForDpmDiscoveryResults ) LastReviewState( Begin ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( On ), CiscoDpmDiscoveryOpMode( On ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(1:N/8), Active? : true, PimPhyport Name : Gi2/9, EpmPortMan Name : EpmPortMan(1:N/8) GalGlmPortDesc : HalGalPortId : 8 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 3, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 1, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 8 StubSubPortIds : { 9, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 9, EthPhyGroupId : 1, EthPhyGroupPortId : 1, GalGlmPortId : 8 GalGlmStubPortLedEntry : 1AC8FDDC Name( EpmPortMan(1:N/8) ), PimPhyport name( Gi2/9 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForDpmDiscoveryResults ) LastReviewState( Begin ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( On ), CiscoDpmDiscoveryOpMode( On ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(1:N/9), Active? : true, PimPhyport Name : Gi2/10, EpmPortMan Name : EpmPortMan(1:N/9) GalGlmPortDesc : HalGalPortId : 9 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 2, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 1, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 9 StubSubPortIds : { 8, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 8, EthPhyGroupId : 1, EthPhyGroupPortId : 0, GalGlmPortId : 9 GalGlmStubPortLedEntry : 1AC8FEEC Name( EpmPortMan(1:N/9) ), PimPhyport name( Gi2/10 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed1Gbps DuplexFull ClockModeMaster FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/10), Active? : true, PimPhyport Name : Gi2/11, EpmPortMan Name : EpmPortMan(1:N/10) GalGlmPortDesc : HalGalPortId : 10 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 5, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 1, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 10 StubSubPortIds : { 11, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 11, EthPhyGroupId : 1, EthPhyGroupPortId : 3, GalGlmPortId : 10 GalGlmStubPortLedEntry : 1AC8FFFC Name( EpmPortMan(1:N/10) ), PimPhyport name( Gi2/11 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/11), Active? : true, PimPhyport Name : Gi2/12, EpmPortMan Name : EpmPortMan(1:N/11) GalGlmPortDesc : HalGalPortId : 11 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 4, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 1, Stub( 0 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 0, Stub( 0 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 0, NumStubPorts : 1, GalGlmPortId : 11 StubSubPortIds : { 10, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 10, EthPhyGroupId : 1, EthPhyGroupPortId : 2, GalGlmPortId : 11 GalGlmStubPortLedEntry : 1AC9010C Name( EpmPortMan(1:N/11) ), PimPhyport name( Gi2/12 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/12), Active? : true, PimPhyport Name : Gi2/13, EpmPortMan Name : EpmPortMan(1:N/12) GalGlmPortDesc : HalGalPortId : 12 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 1, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 2, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 12 StubSubPortIds : { 1, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 13, EthPhyGroupId : 1, EthPhyGroupPortId : 5, GalGlmPortId : 12 GalGlmStubPortLedEntry : 1AC9021C Name( EpmPortMan(1:N/12) ), PimPhyport name( Gi2/13 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForDpmDiscoveryResults ) LastReviewState( Begin ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( On ), CiscoDpmDiscoveryOpMode( On ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(1:N/13), Active? : true, PimPhyport Name : Gi2/14, EpmPortMan Name : EpmPortMan(1:N/13) GalGlmPortDesc : HalGalPortId : 13 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 0, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 2, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 13 StubSubPortIds : { 0, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 12, EthPhyGroupId : 1, EthPhyGroupPortId : 4, GalGlmPortId : 13 GalGlmStubPortLedEntry : 1AC9032C Name( EpmPortMan(1:N/13) ), PimPhyport name( Gi2/14 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/14), Active? : true, PimPhyport Name : Gi2/15, EpmPortMan Name : EpmPortMan(1:N/14) GalGlmPortDesc : HalGalPortId : 14 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 3, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 2, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 14 StubSubPortIds : { 3, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 15, EthPhyGroupId : 1, EthPhyGroupPortId : 7, GalGlmPortId : 14 GalGlmStubPortLedEntry : 1AC9043C Name( EpmPortMan(1:N/14) ), PimPhyport name( Gi2/15 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class3 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed1Gbps DuplexFull ClockModeMaster FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/15), Active? : true, PimPhyport Name : Gi2/16, EpmPortMan Name : EpmPortMan(1:N/15) GalGlmPortDesc : HalGalPortId : 15 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 2, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 2, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 15 StubSubPortIds : { 2, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 14, EthPhyGroupId : 1, EthPhyGroupPortId : 6, GalGlmPortId : 15 GalGlmStubPortLedEntry : 1AC9054C Name( EpmPortMan(1:N/15) ), PimPhyport name( Gi2/16 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class3 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed1Gbps DuplexFull ClockModeSlave FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/16), Active? : true, PimPhyport Name : Gi2/17, EpmPortMan Name : EpmPortMan(1:N/16) GalGlmPortDesc : HalGalPortId : 16 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 5, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 2, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 16 StubSubPortIds : { 5, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 17, EthPhyGroupId : 2, EthPhyGroupPortId : 1, GalGlmPortId : 16 GalGlmStubPortLedEntry : 1AC9065C Name( EpmPortMan(1:N/16) ), PimPhyport name( Gi2/17 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed1Gbps DuplexFull ClockModeSlave FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/17), Active? : true, PimPhyport Name : Gi2/18, EpmPortMan Name : EpmPortMan(1:N/17) GalGlmPortDesc : HalGalPortId : 17 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 4, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 2, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 17 StubSubPortIds : { 4, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 16, EthPhyGroupId : 2, EthPhyGroupPortId : 0, GalGlmPortId : 17 GalGlmStubPortLedEntry : 1AC9076C Name( EpmPortMan(1:N/17) ), PimPhyport name( Gi2/18 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/18), Active? : true, PimPhyport Name : Gi2/19, EpmPortMan Name : EpmPortMan(1:N/18) GalGlmPortDesc : HalGalPortId : 18 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 1, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 3, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 18 StubSubPortIds : { 7, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 19, EthPhyGroupId : 2, EthPhyGroupPortId : 3, GalGlmPortId : 18 GalGlmStubPortLedEntry : 1AC9087C Name( EpmPortMan(1:N/18) ), PimPhyport name( Gi2/19 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/19), Active? : true, PimPhyport Name : Gi2/20, EpmPortMan Name : EpmPortMan(1:N/19) GalGlmPortDesc : HalGalPortId : 19 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 0, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 3, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 19 StubSubPortIds : { 6, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 18, EthPhyGroupId : 2, EthPhyGroupPortId : 2, GalGlmPortId : 19 GalGlmStubPortLedEntry : 1AC9098C Name( EpmPortMan(1:N/19) ), PimPhyport name( Gi2/20 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForDpmDiscoveryResults ) LastReviewState( Begin ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( On ), CiscoDpmDiscoveryOpMode( On ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(1:N/20), Active? : true, PimPhyport Name : Gi2/21, EpmPortMan Name : EpmPortMan(1:N/20) GalGlmPortDesc : HalGalPortId : 20 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 3, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 3, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 20 StubSubPortIds : { 9, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 21, EthPhyGroupId : 2, EthPhyGroupPortId : 5, GalGlmPortId : 20 GalGlmStubPortLedEntry : 1AC90A9C Name( EpmPortMan(1:N/20) ), PimPhyport name( Gi2/21 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/21), Active? : true, PimPhyport Name : Gi2/22, EpmPortMan Name : EpmPortMan(1:N/21) GalGlmPortDesc : HalGalPortId : 21 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 2, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 3, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 21 StubSubPortIds : { 8, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 20, EthPhyGroupId : 2, EthPhyGroupPortId : 4, GalGlmPortId : 21 GalGlmStubPortLedEntry : 1AC90BAC Name( EpmPortMan(1:N/21) ), PimPhyport name( Gi2/22 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/22), Active? : true, PimPhyport Name : Gi2/23, EpmPortMan Name : EpmPortMan(1:N/22) GalGlmPortDesc : HalGalPortId : 22 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 5, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 3, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 22 StubSubPortIds : { 11, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 23, EthPhyGroupId : 2, EthPhyGroupPortId : 7, GalGlmPortId : 22 GalGlmStubPortLedEntry : 1AC90CBC Name( EpmPortMan(1:N/22) ), PimPhyport name( Gi2/23 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForDpmDiscoveryResults ) LastReviewState( Begin ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( On ), CiscoDpmDiscoveryOpMode( On ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(1:N/23), Active? : true, PimPhyport Name : Gi2/24, EpmPortMan Name : EpmPortMan(1:N/23) GalGlmPortDesc : HalGalPortId : 23 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 4, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 3, Stub( 1 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 1, Stub( 1 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 1, NumStubPorts : 1, GalGlmPortId : 23 StubSubPortIds : { 10, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 22, EthPhyGroupId : 2, EthPhyGroupPortId : 6, GalGlmPortId : 23 GalGlmStubPortLedEntry : 1AC90DCC Name( EpmPortMan(1:N/23) ), PimPhyport name( Gi2/24 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/24), Active? : true, PimPhyport Name : Gi2/25, EpmPortMan Name : EpmPortMan(1:N/24) GalGlmPortDesc : HalGalPortId : 24 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 1, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 4, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 24 StubSubPortIds : { 1, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 25, EthPhyGroupId : 3, EthPhyGroupPortId : 1, GalGlmPortId : 24 GalGlmStubPortLedEntry : 1AC90EDC Name( EpmPortMan(1:N/24) ), PimPhyport name( Gi2/25 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed1Gbps DuplexFull ClockModeMaster FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/25), Active? : true, PimPhyport Name : Gi2/26, EpmPortMan Name : EpmPortMan(1:N/25) GalGlmPortDesc : HalGalPortId : 25 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 0, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 4, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 25 StubSubPortIds : { 0, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 24, EthPhyGroupId : 3, EthPhyGroupPortId : 0, GalGlmPortId : 25 GalGlmStubPortLedEntry : 1AC90FEC Name( EpmPortMan(1:N/25) ), PimPhyport name( Gi2/26 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForDpmDiscoveryResults ) LastReviewState( Begin ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( On ), CiscoDpmDiscoveryOpMode( On ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(1:N/26), Active? : true, PimPhyport Name : Gi2/27, EpmPortMan Name : EpmPortMan(1:N/26) GalGlmPortDesc : HalGalPortId : 26 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 3, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 4, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 26 StubSubPortIds : { 3, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 27, EthPhyGroupId : 3, EthPhyGroupPortId : 3, GalGlmPortId : 26 GalGlmStubPortLedEntry : 1AC910FC Name( EpmPortMan(1:N/26) ), PimPhyport name( Gi2/27 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 1 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/27), Active? : true, PimPhyport Name : Gi2/28, EpmPortMan Name : EpmPortMan(1:N/27) GalGlmPortDesc : HalGalPortId : 27 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 2, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 4, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 27 StubSubPortIds : { 2, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 26, EthPhyGroupId : 3, EthPhyGroupPortId : 2, GalGlmPortId : 27 GalGlmStubPortLedEntry : 1AC9120C Name( EpmPortMan(1:N/27) ), PimPhyport name( Gi2/28 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/28), Active? : true, PimPhyport Name : Gi2/29, EpmPortMan Name : EpmPortMan(1:N/28) GalGlmPortDesc : HalGalPortId : 28 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 5, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 4, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 28 StubSubPortIds : { 5, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 29, EthPhyGroupId : 3, EthPhyGroupPortId : 5, GalGlmPortId : 28 GalGlmStubPortLedEntry : 1AC9131C Name( EpmPortMan(1:N/28) ), PimPhyport name( Gi2/29 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/29), Active? : true, PimPhyport Name : Gi2/30, EpmPortMan Name : EpmPortMan(1:N/29) GalGlmPortDesc : HalGalPortId : 29 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 4, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 4, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 29 StubSubPortIds : { 4, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 28, EthPhyGroupId : 3, EthPhyGroupPortId : 4, GalGlmPortId : 29 GalGlmStubPortLedEntry : 1AC9142C Name( EpmPortMan(1:N/29) ), PimPhyport name( Gi2/30 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 1 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/30), Active? : true, PimPhyport Name : Gi2/31, EpmPortMan Name : EpmPortMan(1:N/30) GalGlmPortDesc : HalGalPortId : 30 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 1, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 5, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 30 StubSubPortIds : { 7, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 31, EthPhyGroupId : 3, EthPhyGroupPortId : 7, GalGlmPortId : 30 GalGlmStubPortLedEntry : 1AC9153C Name( EpmPortMan(1:N/30) ), PimPhyport name( Gi2/31 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 1 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/31), Active? : true, PimPhyport Name : Gi2/32, EpmPortMan Name : EpmPortMan(1:N/31) GalGlmPortDesc : HalGalPortId : 31 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 0, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 5, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 31 StubSubPortIds : { 6, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 30, EthPhyGroupId : 3, EthPhyGroupPortId : 6, GalGlmPortId : 31 GalGlmStubPortLedEntry : 1AC9164C Name( EpmPortMan(1:N/31) ), PimPhyport name( Gi2/32 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 1 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/32), Active? : true, PimPhyport Name : Gi2/33, EpmPortMan Name : EpmPortMan(1:N/32) GalGlmPortDesc : HalGalPortId : 32 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 3, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 5, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 32 StubSubPortIds : { 9, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 33, EthPhyGroupId : 4, EthPhyGroupPortId : 1, GalGlmPortId : 32 GalGlmStubPortLedEntry : 1AC9175C Name( EpmPortMan(1:N/32) ), PimPhyport name( Gi2/33 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForDpmDiscoveryResults ) LastReviewState( Begin ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( On ), CiscoDpmDiscoveryOpMode( On ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(1:N/33), Active? : true, PimPhyport Name : Gi2/34, EpmPortMan Name : EpmPortMan(1:N/33) GalGlmPortDesc : HalGalPortId : 33 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 2, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 5, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 33 StubSubPortIds : { 8, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 32, EthPhyGroupId : 4, EthPhyGroupPortId : 0, GalGlmPortId : 33 GalGlmStubPortLedEntry : 1AC9186C Name( EpmPortMan(1:N/33) ), PimPhyport name( Gi2/34 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 1 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/34), Active? : true, PimPhyport Name : Gi2/35, EpmPortMan Name : EpmPortMan(1:N/34) GalGlmPortDesc : HalGalPortId : 34 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 5, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 5, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 34 StubSubPortIds : { 11, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 35, EthPhyGroupId : 4, EthPhyGroupPortId : 3, GalGlmPortId : 34 GalGlmStubPortLedEntry : 1AC9197C Name( EpmPortMan(1:N/34) ), PimPhyport name( Gi2/35 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 1 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/35), Active? : true, PimPhyport Name : Gi2/36, EpmPortMan Name : EpmPortMan(1:N/35) GalGlmPortDesc : HalGalPortId : 35 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 4, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 5, Stub( 2 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 2, Stub( 2 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 2, NumStubPorts : 1, GalGlmPortId : 35 StubSubPortIds : { 10, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 34, EthPhyGroupId : 4, EthPhyGroupPortId : 2, GalGlmPortId : 35 GalGlmStubPortLedEntry : 1AC91A8C Name( EpmPortMan(1:N/35) ), PimPhyport name( Gi2/36 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 1 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/36), Active? : true, PimPhyport Name : Gi2/37, EpmPortMan Name : EpmPortMan(1:N/36) GalGlmPortDesc : HalGalPortId : 36 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 1, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 6, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 36 StubSubPortIds : { 1, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 37, EthPhyGroupId : 4, EthPhyGroupPortId : 5, GalGlmPortId : 36 GalGlmStubPortLedEntry : 1AC91B9C Name( EpmPortMan(1:N/36) ), PimPhyport name( Gi2/37 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class3 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed1Gbps DuplexFull ClockModeMaster FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/37), Active? : true, PimPhyport Name : Gi2/38, EpmPortMan Name : EpmPortMan(1:N/37) GalGlmPortDesc : HalGalPortId : 37 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 0, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 6, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 37 StubSubPortIds : { 0, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 36, EthPhyGroupId : 4, EthPhyGroupPortId : 4, GalGlmPortId : 37 GalGlmStubPortLedEntry : 1AC91CAC Name( EpmPortMan(1:N/37) ), PimPhyport name( Gi2/38 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 1 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/38), Active? : true, PimPhyport Name : Gi2/39, EpmPortMan Name : EpmPortMan(1:N/38) GalGlmPortDesc : HalGalPortId : 38 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 3, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 6, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 38 StubSubPortIds : { 3, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 39, EthPhyGroupId : 4, EthPhyGroupPortId : 7, GalGlmPortId : 38 GalGlmStubPortLedEntry : 1AC91DBC Name( EpmPortMan(1:N/38) ), PimPhyport name( Gi2/39 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class3 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed1Gbps DuplexFull ClockModeSlave FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/39), Active? : true, PimPhyport Name : Gi2/40, EpmPortMan Name : EpmPortMan(1:N/39) GalGlmPortDesc : HalGalPortId : 39 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 2, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 6, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 39 StubSubPortIds : { 2, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 38, EthPhyGroupId : 4, EthPhyGroupPortId : 6, GalGlmPortId : 39 GalGlmStubPortLedEntry : 1AC91ECC Name( EpmPortMan(1:N/39) ), PimPhyport name( Gi2/40 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class3 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed1Gbps DuplexFull ClockModeSlave FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/40), Active? : true, PimPhyport Name : Gi2/41, EpmPortMan Name : EpmPortMan(1:N/40) GalGlmPortDesc : HalGalPortId : 40 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 5, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 6, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 40 StubSubPortIds : { 5, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 41, EthPhyGroupId : 5, EthPhyGroupPortId : 1, GalGlmPortId : 40 GalGlmStubPortLedEntry : 1AC91FDC Name( EpmPortMan(1:N/40) ), PimPhyport name( Gi2/41 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForDpmDiscoveryResults ) LastReviewState( Begin ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( On ), CiscoDpmDiscoveryOpMode( On ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull GalGlmPort(1:N/41), Active? : true, PimPhyport Name : Gi2/42, EpmPortMan Name : EpmPortMan(1:N/41) GalGlmPortDesc : HalGalPortId : 41 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 4, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 6, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 41 StubSubPortIds : { 4, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 40, EthPhyGroupId : 5, EthPhyGroupPortId : 0, GalGlmPortId : 41 GalGlmStubPortLedEntry : 1AC920EC Name( EpmPortMan(1:N/41) ), PimPhyport name( Gi2/42 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class3 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed1Gbps DuplexFull ClockModeMaster FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/42), Active? : true, PimPhyport Name : Gi2/43, EpmPortMan Name : EpmPortMan(1:N/42) GalGlmPortDesc : HalGalPortId : 42 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 1, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 7, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 42 StubSubPortIds : { 7, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 43, EthPhyGroupId : 5, EthPhyGroupPortId : 3, GalGlmPortId : 42 GalGlmStubPortLedEntry : 1AC921FC Name( EpmPortMan(1:N/42) ), PimPhyport name( Gi2/43 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : DiscoveryComplete InlinePowerController ReviewState : WaitPowerChange StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Dpm Device ), IeeeDpmDeviceClass( Ieee Class2 ) PowerConfig( EthDtePowerOn ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOn ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeSendRecv LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/43), Active? : true, PimPhyport Name : Gi2/44, EpmPortMan Name : EpmPortMan(1:N/43) GalGlmPortDesc : HalGalPortId : 43 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 0, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 7, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 43 StubSubPortIds : { 6, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 42, EthPhyGroupId : 5, EthPhyGroupPortId : 2, GalGlmPortId : 43 GalGlmStubPortLedEntry : 1AC9230C Name( EpmPortMan(1:N/43) ), PimPhyport name( Gi2/44 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed100Mbps DuplexFull ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/44), Active? : true, PimPhyport Name : Gi2/45, EpmPortMan Name : EpmPortMan(1:N/44) GalGlmPortDesc : HalGalPortId : 44 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 3, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 7, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 44 StubSubPortIds : { 9, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 45, EthPhyGroupId : 5, EthPhyGroupPortId : 5, GalGlmPortId : 44 GalGlmStubPortLedEntry : 1AC9241C Name( EpmPortMan(1:N/44) ), PimPhyport name( Gi2/45 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed10Mbps DuplexHalf ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/45), Active? : true, PimPhyport Name : Gi2/46, EpmPortMan Name : EpmPortMan(1:N/45) GalGlmPortDesc : HalGalPortId : 45 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 2, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 7, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 45 StubSubPortIds : { 8, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 44, EthPhyGroupId : 5, EthPhyGroupPortId : 4, GalGlmPortId : 45 GalGlmStubPortLedEntry : 1AC9252C Name( EpmPortMan(1:N/45) ), PimPhyport name( Gi2/46 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed10Mbps DuplexHalf ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/46), Active? : true, PimPhyport Name : Gi2/47, EpmPortMan Name : EpmPortMan(1:N/46) GalGlmPortDesc : HalGalPortId : 46 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 5, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 7, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 46 StubSubPortIds : { 11, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 47, EthPhyGroupId : 5, EthPhyGroupPortId : 7, GalGlmPortId : 46 GalGlmStubPortLedEntry : 1AC9263C Name( EpmPortMan(1:N/46) ), PimPhyport name( Gi2/47 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForConfigOpChange ) LastReviewState( LinkControllerStart ) opModeDisabled: false LinkControllerMan ReviewState : UpdateOpState CiscoDpmDiscoveryControllerMan ReviewState : DisableComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : ReadStats CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( Off ), CiscoDpmDiscoveryOpMode( Off ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Non-Dpm Device ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkUp FlowControlModeNone LineSpeed10Mbps DuplexHalf ClockModeNone FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeAuto GalGlmPort(1:N/47), Active? : true, PimPhyport Name : Gi2/48, EpmPortMan Name : EpmPortMan(1:N/47) GalGlmPortDesc : HalGalPortId : 47 HalGlmEthPhyLedGroupId : 255, ConnectorType : RJ-45, SchSubportId : 4, GlmPortGroupId : 255 LinkManagedType : EthPhy, LedManagedType : Stub BackplanePortGroup : BackplanePortGroup( 7, Stub( 3 ), RJ45(0-11) ), Active? : true StubPortGroup : StubPortGroup( 3, Stub( 3 ), RJ45(0-11) ), Active? : true GalGlmStubPortGroupEntry : StubId : 3, NumStubPorts : 1, GalGlmPortId : 47 StubSubPortIds : { 10, } GalGlmStubPortIds : GalGlmEthPhyPortEntry : EthPhyPortId : 46, EthPhyGroupId : 5, EthPhyGroupPortId : 6, GalGlmPortId : 47 GalGlmStubPortLedEntry : 1AC9274C Name( EpmPortMan(1:N/47) ), PimPhyport name( Gi2/48 ) PimPhyport opMode: 1 PimPhyport opEnabled: true PimPhyport configSuspended: false PimPhyport configEnabled: true RunMode( 4 ) OpRunMode( 4 )ReviewState( WaitForDpmDiscoveryResults ) LastReviewState( Begin ) opModeDisabled: true LinkControllerMan ReviewState : DisableComplete CiscoDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete IeeeDpmDiscoveryControllerMan ReviewState : WaitDiscoveryComplete InlinePowerController ReviewState : DisableComplete StatsCollector ReviewState : Null CiscoDpmDiscovery DisableAfterResults( true ) CiscoDpmDiscoveryConfigMode( On ), CiscoDpmDiscoveryOpMode( On ) IeeeDpmDiscovery DisableAfterResults( false ) IeeeDpmDiscoveryConfigMode( On ), IeeeDpmDiscoveryOpMode( On ) CiscoDpmDeviceStatus( Nothing ), IeeeDpmDeviceStatus( Nothing ), IeeeDpmDeviceClass( Unknown ) PowerConfig( EthDtePowerOff ), OpPowerConfig( EthDtePowerNull ), PowerOpStatus( EthDtePowerOpStatusOutOfControl ) LinkConfigParams : Config: ConfigurationModeAuto FlowControlModes[None,Send,Recv,SendRecv] LineSpeeds[10Mbps,100Mbps,1Gbps] DuplexModes[Half,Full] ClockModeAuto RJ-45 LoopbackOff FaultIndicationNone 1 Debounce0ms Efficient Energy Ethernet Disable LinkOperParams : Ops: LinkDown FlowControlModeNull LineSpeedNull DuplexNull ClockModeNull FaultIndicationNone NegotiationErrorNone EfficientEthernet: N/A #link downs: 0 OpLinkConfigMode : ConfigurationModeNull XgstubMan(1:N-0) Version: Xgstub 1.5 Jtag Id: 0x602F202F UplinkMode: StubUplinksMode6G, DownlinkMode: StubModeSgmii RunMode: RunModeOperating OpRunMode: RunModeOperating OpStatus: Ok BringupReviewState: BringupReviewStateComplete OndemandReadReviewState: ReadyToSendVsiRequest OndemandWriteReviewState: ReadyToSendVsiRequest PeridicReadReviewState: ReadyToSendVsiRequest UplinkStatsReviewState: ReadyToSendVsiRequest VsiErrors: 0 ConsecutiveVsiErrors: 0 1G TxFifoHighWatermark( 0x890 ) TxFifoLowWatermark( 0x610 ) RxFifoHighWatermark( 0x340 ) RxFifoLowWatermark( 0xE0 ) Read Cache Global registers..... RegAddress RegName Value 0x00000000 DeviceInfoReg 0x 80C000108075401 0x00000008 ResetReg 0x FFC3FFFE 0x00000010 SwitchLinkInfoReg 0x2F0010000060241E 0x00000018 GlobalMacControlAddress0Reg 0x 0 0x00000020 GlobalMacControlAddress1Reg 0x 0 0x00000028 GlobalMacControlAddress2Reg 0x 0 0x00000020 GlobalMacControlAddressRange0Reg 0x 0 0x00000038 GlobalMacControlAddressRange1Reg 0x 0 0x00000040 GlobalControlModeReg 0x EC2000000500000 0x00000048 ManagementLinkRxCounterReg 0x 0 0x00000050 CpuReadWriteFailureReg 0x 0 0x00000058 SwitchLinkSelectReg 0x 0 0x00000060 SubportBusyBitStatusReg 0x 0 0x00000068 SwitchLinkBusyIdleRefreshReg 0x 0 0x00000070 SwitchLinkBadSchForwardConfigReg 0x 0 0x00000400 SubportLedControllerReg 0x 9FFFFFF00000000 0x00000408 GlobalSubportLinkStatusInfoReg 0x 2420000 0x00000410 SubportXgMacInfoReg 0x 3F 0x00000800 SwitchLink0XgMacInfoReg 0x 3 0x00000808 SwitchLink1XgMacInfoReg 0x 3 0x00000C00 SwitchLinkGigMac0InfoReg 0x 2000005 0x00000C08 SwitchLinkGigMac0ConfigReg 0x 19A0000000000 0x00000C10 SwitchLinkGigMac1InfoReg 0x 2000005 0x00000C18 SwitchLinkGigMac1ConfigReg 0x 19A0000000000 0x00000C20 SwitchLinkGigMac2InfoReg 0x 0 0x00000C28 SwitchLinkGigMacConfigReg 0x 0 0x00000C30 SwitchLinkGigMac3InfoReg 0x 0 0x00000C38 SwitchLinkGigMac3ConfigReg 0x 0 Write Cache Global registers..... RegAddress RegName Value 0x00000000 DeviceInfoReg 0x 0 0x00000008 ResetReg 0x FFC3000E 0x00000010 SwitchLinkInfoReg 0x2F0010000060241E 0x00000018 GlobalMacControlAddress0Reg 0x 0 0x00000020 GlobalMacControlAddress1Reg 0x 0 0x00000028 GlobalMacControlAddress2Reg 0x 0 0x00000020 GlobalMacControlAddressRange0Reg 0x 0 0x00000038 GlobalMacControlAddressRange1Reg 0x 0 0x00000040 GlobalControlModeReg 0x EC2000000500000 0x00000048 ManagementLinkRxCounterReg 0x 0 0x00000050 CpuReadWriteFailureReg 0x 0 0x00000058 SwitchLinkSelectReg 0x 0 0x00000060 SubportBusyBitStatusReg 0x 0 0x00000068 SwitchLinkBusyIdleRefreshReg 0x 0 0x00000070 SwitchLinkBadSchForwardConfigReg 0x 0 0x00000400 SubportLedControllerReg 0x 9FFFFFF00A82AA8 0x00000408 GlobalSubportLinkStatusInfoReg 0x 2420000 0x00000410 SubportXgMacInfoReg 0x 3F 0x00000800 SwitchLink0XgMacInfoReg 0x 3 0x00000808 SwitchLink1XgMacInfoReg 0x 3 0x00000C00 SwitchLinkGigMac0InfoReg 0x 5 0x00000C08 SwitchLinkGigMac0ConfigReg 0x 0 0x00000C10 SwitchLinkGigMac1InfoReg 0x 5 0x00000C18 SwitchLinkGigMac1ConfigReg 0x 0 0x00000C20 SwitchLinkGigMac2InfoReg 0x 5 0x00000C28 SwitchLinkGigMacConfigReg 0x 0 0x00000C30 SwitchLinkGigMac3InfoReg 0x 5 0x00000C38 SwitchLinkGigMac3ConfigReg 0x 0 Write Cache Switch Link Serdes Registers.... RegAddress RegName Value 0x00030000 RxUplinkport-0 0x 4000061101 0x00030008 RxUplinkport-1 0x 4000061101 0x00030010 RxUplinkport-2 0x 4000061101 0x00030018 RxUplinkport-3 0x 4000061101 0x00030020 TxUplinkport-0 0x 5D01 0x00030028 TxUplinkport-1 0x 5D01 0x00030030 TxUplinkport-2 0x 1D01 0x00030038 TxUplinkport-3 0x 1D01 0x00030040 PllReg 0x 5 RegAddress RegName Value 0x00034000 RxUplinkport-4 0x 4000061100 0x00034008 RxUplinkport-5 0x 4000061100 0x00034010 RxUplinkport-6 0x 4000061100 0x00034018 RxUplinkport-7 0x 4000061100 0x00034020 TxUplinkport-4 0x 1D00 0x00034028 TxUplinkport-5 0x 1D00 0x00034030 TxUplinkport-6 0x 1D00 0x00034038 TxUplinkport-7 0x 1D00 0x00034040 PllReg 0x 4 Read Cache Switch Link Serdes Registers.... RegAddress RegName Value 0x00030000 RxUplinkport-0 0x 4000061101 0x00030008 RxUplinkport-1 0x 4000061101 0x00030010 RxUplinkport-2 0x 4400061101 0x00030018 RxUplinkport-3 0x 4000061101 0x00030020 TxUplinkport-0 0x 5D01 0x00030028 TxUplinkport-1 0x 5D01 0x00030030 TxUplinkport-2 0x 1D01 0x00030038 TxUplinkport-3 0x 1D01 0x00030040 PllReg 0x 10005 RegAddress RegName Value 0x00034000 RxUplinkport-4 0x 4400061100 0x00034008 RxUplinkport-5 0x 4400061100 0x00034010 RxUplinkport-6 0x 4000061100 0x00034018 RxUplinkport-7 0x 4000061100 0x00034020 TxUplinkport-4 0x 1D00 0x00034028 TxUplinkport-5 0x 1D00 0x00034030 TxUplinkport-6 0x 1D00 0x00034038 TxUplinkport-7 0x 1D00 0x00034040 PllReg 0x 4 Write Cache Subport Serdes Registers.... RegAddress RegName Value 0x00020000 RxDownlinkport- 0 0x 40000E1121 0x00020008 RxDownlinkport- 1 0x 40000E1121 0x00020010 RxDownlinkport- 2 0x 40000E1121 0x00020018 RxDownlinkport- 3 0x 40000E1121 0x00020020 TxDownlinkport- 0 0x 1721 0x00020028 TxDownlinkport- 1 0x 1721 0x00020030 TxDownlinkport- 2 0x 1721 0x00020038 TxDownlinkport- 3 0x 1721 0x00020040 PllReg 0x 1 RegAddress RegName Value 0x00024000 RxDownlinkport- 4 0x 40000E1121 0x00024008 RxDownlinkport- 5 0x 40000E1121 0x00024010 RxDownlinkport- 6 0x 40000E1121 0x00024018 RxDownlinkport- 7 0x 40000E1121 0x00024020 TxDownlinkport- 4 0x 1721 0x00024028 TxDownlinkport- 5 0x 1721 0x00024030 TxDownlinkport- 6 0x 1721 0x00024038 TxDownlinkport- 7 0x 1721 0x00024040 PllReg 0x 1 RegAddress RegName Value 0x00028000 RxDownlinkport- 8 0x 40000E1121 0x00028008 RxDownlinkport- 9 0x 40000E1121 0x00028010 RxDownlinkport-10 0x 40000E1121 0x00028018 RxDownlinkport-11 0x 40000E1121 0x00028020 TxDownlinkport- 8 0x 1721 0x00028028 TxDownlinkport- 9 0x 1721 0x00028030 TxDownlinkport-10 0x 1721 0x00028038 TxDownlinkport-11 0x 1721 0x00028040 PllReg 0x 1 Read Cache Subport Serdes Registers.... RegAddress RegName Value 0x00020000 RxDownlinkport- 0 0x 40000E1121 0x00020008 RxDownlinkport- 1 0x 44000E1121 0x00020010 RxDownlinkport- 2 0x 42000E1121 0x00020018 RxDownlinkport- 3 0x 44000E1121 0x00020020 TxDownlinkport- 0 0x 1721 0x00020028 TxDownlinkport- 1 0x 1721 0x00020030 TxDownlinkport- 2 0x 1721 0x00020038 TxDownlinkport- 3 0x 1721 0x00020040 PllReg 0x 10001 RegAddress RegName Value 0x00024000 RxDownlinkport- 4 0x 42000E1121 0x00024008 RxDownlinkport- 5 0x 44000E1121 0x00024010 RxDownlinkport- 6 0x 42000E1121 0x00024018 RxDownlinkport- 7 0x 40000E1121 0x00024020 TxDownlinkport- 4 0x 1721 0x00024028 TxDownlinkport- 5 0x 1721 0x00024030 TxDownlinkport- 6 0x 1721 0x00024038 TxDownlinkport- 7 0x 1721 0x00024040 PllReg 0x 10001 RegAddress RegName Value 0x00028000 RxDownlinkport- 8 0x 40000E1121 0x00028008 RxDownlinkport- 9 0x 42000E1121 0x00028010 RxDownlinkport-10 0x 42000E1121 0x00028018 RxDownlinkport-11 0x 44000E1121 0x00028020 TxDownlinkport- 8 0x 1721 0x00028028 TxDownlinkport- 9 0x 1721 0x00028030 TxDownlinkport-10 0x 1721 0x00028038 TxDownlinkport-11 0x 1721 0x00028040 PllReg 0x 10001 XgstubMan(1:N-1) Version: Xgstub 1.5 Jtag Id: 0x602F202F UplinkMode: StubUplinksMode6G, DownlinkMode: StubModeSgmii RunMode: RunModeOperating OpRunMode: RunModeOperating OpStatus: Ok BringupReviewState: BringupReviewStateComplete OndemandReadReviewState: ReadyToSendVsiRequest OndemandWriteReviewState: ReadyToSendVsiRequest PeridicReadReviewState: ReadyToSendVsiRequest UplinkStatsReviewState: ReadyToSendVsiRequest VsiErrors: 0 ConsecutiveVsiErrors: 0 1G TxFifoHighWatermark( 0x890 ) TxFifoLowWatermark( 0x610 ) RxFifoHighWatermark( 0x340 ) RxFifoLowWatermark( 0xE0 ) Read Cache Global registers..... RegAddress RegName Value 0x00000000 DeviceInfoReg 0x 80C000108075401 0x00000008 ResetReg 0x FFC3FFFE 0x00000010 SwitchLinkInfoReg 0x2F0010000060241E 0x00000018 GlobalMacControlAddress0Reg 0x 0 0x00000020 GlobalMacControlAddress1Reg 0x 0 0x00000028 GlobalMacControlAddress2Reg 0x 0 0x00000020 GlobalMacControlAddressRange0Reg 0x 0 0x00000038 GlobalMacControlAddressRange1Reg 0x 0 0x00000040 GlobalControlModeReg 0x EC2000000500000 0x00000048 ManagementLinkRxCounterReg 0x 0 0x00000050 CpuReadWriteFailureReg 0x 0 0x00000058 SwitchLinkSelectReg 0x 0 0x00000060 SubportBusyBitStatusReg 0x 0 0x00000068 SwitchLinkBusyIdleRefreshReg 0x 0 0x00000070 SwitchLinkBadSchForwardConfigReg 0x 0 0x00000400 SubportLedControllerReg 0x 9FFFFFF00000000 0x00000408 GlobalSubportLinkStatusInfoReg 0x 8420000 0x00000410 SubportXgMacInfoReg 0x 3F 0x00000800 SwitchLink0XgMacInfoReg 0x 3 0x00000808 SwitchLink1XgMacInfoReg 0x 3 0x00000C00 SwitchLinkGigMac0InfoReg 0x 2000005 0x00000C08 SwitchLinkGigMac0ConfigReg 0x 19A0000000000 0x00000C10 SwitchLinkGigMac1InfoReg 0x 2000005 0x00000C18 SwitchLinkGigMac1ConfigReg 0x 19A0000000000 0x00000C20 SwitchLinkGigMac2InfoReg 0x 0 0x00000C28 SwitchLinkGigMacConfigReg 0x 0 0x00000C30 SwitchLinkGigMac3InfoReg 0x 0 0x00000C38 SwitchLinkGigMac3ConfigReg 0x 0 Write Cache Global registers..... RegAddress RegName Value 0x00000000 DeviceInfoReg 0x 0 0x00000008 ResetReg 0x FFC3000E 0x00000010 SwitchLinkInfoReg 0x2F0010000060241E 0x00000018 GlobalMacControlAddress0Reg 0x 0 0x00000020 GlobalMacControlAddress1Reg 0x 0 0x00000028 GlobalMacControlAddress2Reg 0x 0 0x00000020 GlobalMacControlAddressRange0Reg 0x 0 0x00000038 GlobalMacControlAddressRange1Reg 0x 0 0x00000040 GlobalControlModeReg 0x EC2000000500000 0x00000048 ManagementLinkRxCounterReg 0x 0 0x00000050 CpuReadWriteFailureReg 0x 0 0x00000058 SwitchLinkSelectReg 0x 0 0x00000060 SubportBusyBitStatusReg 0x 0 0x00000068 SwitchLinkBusyIdleRefreshReg 0x 0 0x00000070 SwitchLinkBadSchForwardConfigReg 0x 0 0x00000400 SubportLedControllerReg 0x 9FFFFFF00882AA8 0x00000408 GlobalSubportLinkStatusInfoReg 0x 8420000 0x00000410 SubportXgMacInfoReg 0x 3F 0x00000800 SwitchLink0XgMacInfoReg 0x 3 0x00000808 SwitchLink1XgMacInfoReg 0x 3 0x00000C00 SwitchLinkGigMac0InfoReg 0x 5 0x00000C08 SwitchLinkGigMac0ConfigReg 0x 0 0x00000C10 SwitchLinkGigMac1InfoReg 0x 5 0x00000C18 SwitchLinkGigMac1ConfigReg 0x 0 0x00000C20 SwitchLinkGigMac2InfoReg 0x 5 0x00000C28 SwitchLinkGigMacConfigReg 0x 0 0x00000C30 SwitchLinkGigMac3InfoReg 0x 5 0x00000C38 SwitchLinkGigMac3ConfigReg 0x 0 Write Cache Switch Link Serdes Registers.... RegAddress RegName Value 0x00030000 RxUplinkport-0 0x 4000061101 0x00030008 RxUplinkport-1 0x 4000061101 0x00030010 RxUplinkport-2 0x 4000061101 0x00030018 RxUplinkport-3 0x 4000061101 0x00030020 TxUplinkport-0 0x 5D01 0x00030028 TxUplinkport-1 0x 5D01 0x00030030 TxUplinkport-2 0x 1D01 0x00030038 TxUplinkport-3 0x 1D01 0x00030040 PllReg 0x 5 RegAddress RegName Value 0x00034000 RxUplinkport-4 0x 4000061100 0x00034008 RxUplinkport-5 0x 4000061100 0x00034010 RxUplinkport-6 0x 4000061100 0x00034018 RxUplinkport-7 0x 4000061100 0x00034020 TxUplinkport-4 0x 1D00 0x00034028 TxUplinkport-5 0x 1D00 0x00034030 TxUplinkport-6 0x 1D00 0x00034038 TxUplinkport-7 0x 1D00 0x00034040 PllReg 0x 4 Read Cache Switch Link Serdes Registers.... RegAddress RegName Value 0x00030000 RxUplinkport-0 0x 4400061101 0x00030008 RxUplinkport-1 0x 4400061101 0x00030010 RxUplinkport-2 0x 4400061101 0x00030018 RxUplinkport-3 0x 4000061101 0x00030020 TxUplinkport-0 0x 5D01 0x00030028 TxUplinkport-1 0x 5D01 0x00030030 TxUplinkport-2 0x 1D01 0x00030038 TxUplinkport-3 0x 1D01 0x00030040 PllReg 0x 10005 RegAddress RegName Value 0x00034000 RxUplinkport-4 0x 4400061100 0x00034008 RxUplinkport-5 0x 4400061100 0x00034010 RxUplinkport-6 0x 4000061100 0x00034018 RxUplinkport-7 0x 4000061100 0x00034020 TxUplinkport-4 0x 1D00 0x00034028 TxUplinkport-5 0x 1D00 0x00034030 TxUplinkport-6 0x 1D00 0x00034038 TxUplinkport-7 0x 1D00 0x00034040 PllReg 0x 4 Write Cache Subport Serdes Registers.... RegAddress RegName Value 0x00020000 RxDownlinkport- 0 0x 40000E1121 0x00020008 RxDownlinkport- 1 0x 40000E1121 0x00020010 RxDownlinkport- 2 0x 40000E1121 0x00020018 RxDownlinkport- 3 0x 40000E1121 0x00020020 TxDownlinkport- 0 0x 1721 0x00020028 TxDownlinkport- 1 0x 1721 0x00020030 TxDownlinkport- 2 0x 1721 0x00020038 TxDownlinkport- 3 0x 1721 0x00020040 PllReg 0x 1 RegAddress RegName Value 0x00024000 RxDownlinkport- 4 0x 40000E1121 0x00024008 RxDownlinkport- 5 0x 40000E1121 0x00024010 RxDownlinkport- 6 0x 40000E1121 0x00024018 RxDownlinkport- 7 0x 40000E1121 0x00024020 TxDownlinkport- 4 0x 1721 0x00024028 TxDownlinkport- 5 0x 1721 0x00024030 TxDownlinkport- 6 0x 1721 0x00024038 TxDownlinkport- 7 0x 1721 0x00024040 PllReg 0x 1 RegAddress RegName Value 0x00028000 RxDownlinkport- 8 0x 40000E1121 0x00028008 RxDownlinkport- 9 0x 40000E1121 0x00028010 RxDownlinkport-10 0x 40000E1121 0x00028018 RxDownlinkport-11 0x 40000E1121 0x00028020 TxDownlinkport- 8 0x 1721 0x00028028 TxDownlinkport- 9 0x 1721 0x00028030 TxDownlinkport-10 0x 1721 0x00028038 TxDownlinkport-11 0x 1721 0x00028040 PllReg 0x 1 Read Cache Subport Serdes Registers.... RegAddress RegName Value 0x00020000 RxDownlinkport- 0 0x 44000E1121 0x00020008 RxDownlinkport- 1 0x 40000E1121 0x00020010 RxDownlinkport- 2 0x 42000E1121 0x00020018 RxDownlinkport- 3 0x 40000E1121 0x00020020 TxDownlinkport- 0 0x 1721 0x00020028 TxDownlinkport- 1 0x 1721 0x00020030 TxDownlinkport- 2 0x 1721 0x00020038 TxDownlinkport- 3 0x 1721 0x00020040 PllReg 0x 10001 RegAddress RegName Value 0x00024000 RxDownlinkport- 4 0x 44000E1121 0x00024008 RxDownlinkport- 5 0x 44000E1121 0x00024010 RxDownlinkport- 6 0x 44000E1121 0x00024018 RxDownlinkport- 7 0x 44000E1121 0x00024020 TxDownlinkport- 4 0x 1721 0x00024028 TxDownlinkport- 5 0x 1721 0x00024030 TxDownlinkport- 6 0x 1721 0x00024038 TxDownlinkport- 7 0x 1721 0x00024040 PllReg 0x 10001 RegAddress RegName Value 0x00028000 RxDownlinkport- 8 0x 40000E1121 0x00028008 RxDownlinkport- 9 0x 44000E1121 0x00028010 RxDownlinkport-10 0x 42000E1121 0x00028018 RxDownlinkport-11 0x 44000E1121 0x00028020 TxDownlinkport- 8 0x 1721 0x00028028 TxDownlinkport- 9 0x 1721 0x00028030 TxDownlinkport-10 0x 1721 0x00028038 TxDownlinkport-11 0x 1721 0x00028040 PllReg 0x 10001 XgstubMan(1:N-2) Version: Xgstub 1.5 Jtag Id: 0x602F202F UplinkMode: StubUplinksMode6G, DownlinkMode: StubModeSgmii RunMode: RunModeOperating OpRunMode: RunModeOperating OpStatus: Ok BringupReviewState: BringupReviewStateComplete OndemandReadReviewState: ReadyToSendVsiRequest OndemandWriteReviewState: ReadyToSendVsiRequest PeridicReadReviewState: ReadyToSendVsiRequest UplinkStatsReviewState: ReadyToSendVsiRequest VsiErrors: 0 ConsecutiveVsiErrors: 0 1G TxFifoHighWatermark( 0x890 ) TxFifoLowWatermark( 0x610 ) RxFifoHighWatermark( 0x340 ) RxFifoLowWatermark( 0xE0 ) Read Cache Global registers..... RegAddress RegName Value 0x00000000 DeviceInfoReg 0x 80C000108075401 0x00000008 ResetReg 0x FFC3FFFE 0x00000010 SwitchLinkInfoReg 0x2F0010000060241E 0x00000018 GlobalMacControlAddress0Reg 0x 0 0x00000020 GlobalMacControlAddress1Reg 0x 0 0x00000028 GlobalMacControlAddress2Reg 0x 0 0x00000020 GlobalMacControlAddressRange0Reg 0x 0 0x00000038 GlobalMacControlAddressRange1Reg 0x 0 0x00000040 GlobalControlModeReg 0x EC2000000500000 0x00000048 ManagementLinkRxCounterReg 0x 0 0x00000050 CpuReadWriteFailureReg 0x 0 0x00000058 SwitchLinkSelectReg 0x 0 0x00000060 SubportBusyBitStatusReg 0x 0 0x00000068 SwitchLinkBusyIdleRefreshReg 0x 0 0x00000070 SwitchLinkBadSchForwardConfigReg 0x 0 0x00000400 SubportLedControllerReg 0x 9FFFFFF00000000 0x00000408 GlobalSubportLinkStatusInfoReg 0x 2010000 0x00000410 SubportXgMacInfoReg 0x 3F 0x00000800 SwitchLink0XgMacInfoReg ------------------ show platform software latency ------------------ 0F28 11F8C724 11F8CEA0 112D6FB4 112E159C 112F2910 112F3A18 11173CCC 1117A094 1118B6D8 11B2C654 11B269C8 11B269C8 3843738 13842DC8 11F53C08 11240A3C 1167C9C0 116778E8 112D6510 1167EC58 112D6510 116BA370 116B8680 116B54BC 116B67C0 11B2C654 11B269C8 F560 13831254 13832FD8 13832710 121C257C 121C2950 11F50C14 11F50FAC 11F51790 11B2C654 11B269C8 Process= 'OBFL UPTIME slot-1' Worst Execution Time= 44 ms Traceback= 11F994D0 105C58D0 105C6060 105C2940 105A65A0 105A6CD0 105DBD94 11B2C654 11B269C8 070FA4 12072B40 13831254 13832FD8 13832710 121C257C 121C2950 11F50C14 11F50FAC 11F51790 11B2C654 11B269C8 12D5A0C 11F976EC 1204AB4C 12049818 1204A8EC 11F992F0 105C6FBC 105C3D00 105DFCEC 11B2C654 11B269C8 107C27C8 107C2A34 11F8F134 11B2C654 11B269C8 2D5A0C 11F976EC 1204AB4C 12049818 1204A8EC 11F992F0 105C6FBC 105C3D00 105AD39C 11B2C654 11B269C8 Process= 'Per-minute Jobs' Worst Execution Time= 28 ms Traceback= 11E15690 11A7EDB8 10398610 11B2C654 11B269C8 1B2C654 11B269C8 11F3BD30 10AF4790 11B2C654 11B269C8 ------------------ show platform software vsi all ------------------ Transactions (all modules) Channel Slot Channel Current High watermark Id Id Name Descriptors Descriptors ----------------------------------------------------------- 0 1 slot-01 1 14 3 2 slot-02 0 351 4 3 slot-03 0 0 max curr ------- cumulative ------- VSI memory usage pool alloc alloc alloc freed empty ------------------------------------------------------------------------------ VsiDescriptors 15750 352 1 1397394 1397393 0 VsiBuffers(16) 9400 354 16 563496 563480 0 VsiBuffers(128) 6100 48 0 261239 261239 0 VsiBuffers(1024) 1500 98 96 194 98 0 VsiBuffers(4096) 100 2 0 10 10 0 VsiTransactions(1) 2100 55 8 38224 38216 0 VsiTransactions(10) 1750 152 50 218928 218878 0 VsiTransactions(18) 420 1 0 2 2 0 VsiTransactions(25) 420 0 0 0 0 0 VsiTransactions(80) 420 0 0 0 0 0 VsiReqPool(s2w) 700 10 0 22708 22708 0 VsiReqPool(vli) 9100 156 112 338691 338579 0 VsiReqPool(mdio22) 3500 336 0 463520 463520 0 VsiReqPool(mdio45) 2450 4 0 20 20 0 Memory usage for Vsi Objects(Kbytes) = 5318 VsiTransactionPool Usage Statistics VsiTransactions(1) Usage last 5 seconds last minute last hour Events per second 0 2 0 Percent cpu utilization 0.00 0.00 0.00 Total : 50601 Total milliseconds spent handling: 50 VsiTransactions(10) Usage last 5 seconds last minute last hour Events per second 0 29 0 Percent cpu utilization 0.00 0.00 0.00 Total : 492237 Total milliseconds spent handling: 492 VsiTransactions(18) Usage last 5 seconds last minute last hour Events per second 0 0 0 Total : 2 VsiTransactions(25) Usage last 5 seconds last minute last hour Events per second 0 0 0 Total : 0 VsiTransactions(80) Usage last 5 seconds last minute last hour Events per second 0 0 0 Total : 0 Module: 1 Channel Id: 0 Name: slot-01 RunModeOperating Register hex value state ------------------------------------------------------------------------------- link 0x84600012 reset always; link enable; link idle interrupt mask 0x0000000F link fault; dma complete; dma idle; dma error interrupt status 0x00000000 dma control 0x00000023 dma enabled; dma idle dma descriptor 0x0A96DB40 Counters ------------------------------------------------------------------------------- TriggerDma:31020 Interrupts:31012 Reviews:31007 Completion: Interrupted:0 Unfinished:13 Finished:30994 LinkInit:1 DmaInit:1 Slow:0 Fast:11 Heartbeat: Sent:8451 Deferred:0 Descriptors: Total:25754 Current:1 Watermark:14 Pending: Total:8039 Current:8039 Watermark:8039 Direct: Total:17713 Current:17713 Watermark:17713 Chain: Total:0 Current:0 Watermark:0 Handled:51504 Normal:51504 Errored:0 Elapsed: Submitted:0.636 Finished:0.636 Up:16916 Module: 2 Channel Id: 3 Name: slot-02 RunModeOperating Register hex value state ------------------------------------------------------------------------------- link 0x84600012 reset always; link enable; link idle interrupt mask 0x0000000F link fault; dma complete; dma idle; dma error interrupt status 0x00000000 dma control 0x00000023 dma enabled; dma idle dma descriptor 0x0A96DDA0 Counters ------------------------------------------------------------------------------- TriggerDma:193321 Interrupts:193321 Reviews:193321 Completion: Interrupted:0 Unfinished:0 Finished:193321 LinkInit:1 DmaInit:1 Slow:0 Fast:0 Descriptors: Total:1371639 Current:0 Watermark:351 Pending: Total:1277967 Current:1277967 Watermark:1277967 Direct: Total:93671 Current:93671 Watermark:93671 Chain: Total:0 Current:0 Watermark:0 Handled:2743276 Normal:2743276 Errored:0 Elapsed: Submitted:0.160 Finished:0.148 Up:16879 Module: 3 Channel Id: 4 Name: slot-03 RunModeReset Register hex value state ------------------------------------------------------------------------------- link 0x84600000 reset always; link reset; link stopped interrupt mask 0x00000000 interrupt status 0x00000000 dma control 0x00000000 dma reset; dma stopped dma descriptor 0x00000000 Counters ------------------------------------------------------------------------------- TriggerDma:0 Interrupts:0 Reviews:0 Completion: Interrupted:0 Unfinished:0 Finished:0 Descriptors: Total:0 Current:0 Watermark:0 Pending: Total:0 Current:0 Watermark:0 Direct: Total:0 Current:0 Watermark:0 Chain: Total:0 Current:0 Watermark:0 Handled:0 Normal:0 Errored:0 ------------------ show power detail ------------------ Power Fan Inline Supply Model No Type Status Sensor Status ------ ---------------- --------- ----------- ------- ------- PS1 PWR-C45-1300ACV AC 1300W good good good PS2 none -- -- -- -- -- Power supplies needed by system : 1 Power supplies currently available : 1 Power Summary Maximum (in Watts) Used Available ---------------------- ---- --------- System Power (12V) 352 1050 Inline Power (-50V) 220 800 Backplane Power (3.3V) 0 0 ---------------------- ---- --------- Total 572 (not to exceed Total Maximum Available = 1300) Power fail interrupts received on slot 1: 0 Power fail interrupts received on slot 2: 0 Debounce value for power fail status: 0 microseconds Watts Used of System Power(12V) Mod Model budgeted instantaneous peak out of reset in reset ---- ------------------- -------- ------------- ------ ------------ -------- 1 WS-X45-SUP6L-E 200 -- -- 200 200 2 WS-X4648-RJ45V+E 92 -- -- 92 10 -- Fan Tray 60 -- -- -- -- ------------------------- -------- ------------- ------ ------------ -------- Total 352 -- -- 292 210 Watts used of Chassis Inline Power (-50V) Inline Power Admin Inline Power Oper Mod Model PS Device PS Device Efficiency ---- ------------------- ---------------- ---------------- ---------- 1 WS-X45-SUP6L-E - - - - - 2 WS-X4648-RJ45V+E 221 205 123 115 93 ------------------------- ---------------- ---------------- ---------- Total 221 205 123 115 ------------------ show power inline ------------------ Available:800(w) Used:220(w) Remaining:580(w) Interface Admin Oper Power(Watts) Device Class From PS To Device --------- ------ ---------- ---------- ---------- ------------------- ----- Gi2/1 auto off 0.0 0.0 n/a n/a Gi2/2 auto on 6.8 6.3 IP Phone 7962 2 Gi2/3 auto off 0.0 0.0 n/a n/a Gi2/4 auto on 6.8 6.3 IP Phone 7962 2 Gi2/5 auto off 0.0 0.0 n/a n/a Gi2/6 auto on 6.8 6.3 IP Phone 7962 2 Gi2/7 auto off 0.0 0.0 n/a n/a Gi2/8 auto off 0.0 0.0 n/a n/a Gi2/9 auto off 0.0 0.0 n/a n/a Gi2/10 auto off 0.0 0.0 n/a n/a Gi2/11 auto on 6.8 6.3 IP Phone 7962 2 Gi2/12 auto off 0.0 0.0 n/a n/a Gi2/13 auto off 0.0 0.0 n/a n/a Gi2/14 auto on 6.8 6.3 IP Phone 7962 2 Gi2/15 auto on 16.6 15.4 AIR-LAP1142N-E-K9 3 Gi2/16 auto on 12.9 12.0 IP Phone 7965 3 Gi2/17 auto off 0.0 0.0 n/a n/a Gi2/18 auto on 6.8 6.3 IP Phone 7962 2 Gi2/19 auto on 5.4 5.0 IP Phone 7911 2 Gi2/20 auto off 0.0 0.0 n/a n/a Gi2/21 auto off 0.0 0.0 n/a n/a Gi2/22 auto on 5.4 5.0 IP Phone 7911 2 Gi2/23 auto off 0.0 0.0 n/a n/a Gi2/24 auto off 0.0 0.0 n/a n/a Gi2/25 auto off 0.0 0.0 n/a n/a Gi2/26 auto off 0.0 0.0 n/a n/a Gi2/27 auto on 7.5 7.0 Ieee PD 2 Gi2/28 auto on 6.8 6.3 IP Phone 7962 2 Gi2/29 auto off 0.0 0.0 n/a n/a Gi2/30 auto on 7.5 7.0 Ieee PD 2 Gi2/31 auto on 7.5 7.0 Ieee PD 2 Gi2/32 auto on 7.5 7.0 Ieee PD 2 Gi2/33 auto off 0.0 0.0 n/a n/a Gi2/34 auto on 7.5 7.0 Ieee PD 2 Gi2/35 auto on 7.5 7.0 Ieee PD 2 Gi2/36 auto on 7.5 7.0 Ieee PD 2 Gi2/37 auto on 16.6 15.4 AIR-LAP1142N-E-K9 3 Gi2/38 auto on 7.5 7.0 Ieee PD 2 Gi2/39 auto on 16.6 15.4 AIR-LAP1142N-E-K9 3 Gi2/40 auto on 16.6 15.4 AIR-LAP1142N-E-K9 3 Gi2/41 auto off 0.0 0.0 n/a n/a Gi2/42 auto on 16.6 15.4 AIR-LAP1142N-E-K9 3 Gi2/43 auto on 6.8 6.3 IP Phone 7962 2 Gi2/44 auto off 0.0 0.0 n/a n/a Gi2/45 auto off 0.0 0.0 n/a n/a Gi2/46 auto off 0.0 0.0 n/a n/a Gi2/47 auto off 0.0 0.0 n/a n/a Gi2/48 auto off 0.0 0.0 n/a n/a --------- ------ ---------- ---------- ---------- ------------------- ----- Totals: 24 on 220.9 205.4 ------------------ show spanning-tree summary ------------------ Switch is in pvst mode Root bridge for: none Extended system ID is enabled Portfast Default is disabled PortFast BPDU Guard Default is disabled Portfast BPDU Filter Default is disabled Loopguard Default is disabled EtherChannel misconfig guard is enabled UplinkFast is disabled BackboneFast is disabled Configured Pathcost method used is short Name Blocking Listening Learning Forwarding STP Active ---------------------- -------- --------- -------- ---------- ---------- VLAN0001 0 0 0 2 2 VLAN0011 0 0 0 7 7 VLAN0126 0 0 0 2 2 VLAN0214 0 0 0 6 6 VLAN1311 0 0 0 29 29 VLAN1941 0 0 0 1 1 VLAN2901 0 0 0 1 1 VLAN2906 0 0 0 4 4 VLAN2907 0 0 0 9 9 VLAN2908 0 0 0 1 1 VLAN2923 0 0 0 6 6 ---------------------- -------- --------- -------- ---------- ---------- 11 vlans 0 0 0 68 68 ------------------ show vlan ------------------ VLAN Name Status Ports ---- -------------------------------- --------- ------------------------------- 1 default active Te1/1, Te1/2, Gi1/5, Gi1/6, Gi2/1, Gi2/2, Gi2/4, Gi2/8, Gi2/9, Gi2/11, Gi2/12, Gi2/13, Gi2/16, Gi2/18, Gi2/19, Gi2/20, Gi2/22, Gi2/23, Gi2/24 Gi2/26 11 VerIT_Printer active Gi2/3, Gi2/5, Gi2/17, Gi2/29, Gi2/41, Gi2/43, Gi2/44 126 Legacy-GL-BTK18 active Gi2/6, Gi2/21 214 ClientBTK18_UFL_3 active Gi2/7, Gi2/10, Gi2/14, Gi2/25, Gi2/28 1002 fddi-default act/unsup 1003 token-ring-default act/unsup 1004 fddinet-default act/unsup 1005 trnet-default act/unsup 1311 VoiceBTK18_UFL_3 active Gi2/1, Gi2/2, Gi2/3, Gi2/4, Gi2/5, Gi2/6, Gi2/7, Gi2/8, Gi2/9, Gi2/10, Gi2/11, Gi2/12, Gi2/13, Gi2/14, Gi2/16, Gi2/17, Gi2/18, Gi2/19, Gi2/20 Gi2/21, Gi2/22, Gi2/23, Gi2/24, Gi2/25, Gi2/26, Gi2/27, Gi2/28, Gi2/29, Gi2/30, Gi2/31, Gi2/32, Gi2/33, Gi2/34, Gi2/35, Gi2/36, Gi2/38 Gi2/41, Gi2/43, Gi2/44, Gi2/45, Gi2/46, Gi2/47, Gi2/48 1941 SW_AccessBTK18_MGMT active 2901 Guest_BTK18 active 2906 BSecurityBTK18 active Gi2/45, Gi2/46, Gi2/47, Gi2/48 2907 CCTV_BTK18 active Gi2/27, Gi2/30, Gi2/31, Gi2/32, Gi2/33, Gi2/34, Gi2/35, Gi2/36, Gi2/38 2908 CanteenBTK18 active 2923 Infra-AP-BTK18 active Gi2/15, Gi2/37, Gi2/39, Gi2/40, Gi2/42 VLAN Type SAID MTU Parent RingNo BridgeNo Stp BrdgMode Trans1 Trans2 ---- ----- ---------- ----- ------ ------ -------- ---- -------- ------ ------ 1 enet 100001 1500 - - - - - 0 0 11 enet 100011 1500 - - - - - 0 0 126 enet 100126 1500 - - - - - 0 0 214 enet 100214 1500 - - - - - 0 0 1002 fddi 101002 1500 - - - - - 0 0 1003 tr 101003 1500 - - - - - 0 0 1004 fdnet 101004 1500 - - - ieee - 0 0 1005 trnet 101005 1500 - - - ibm - 0 0 1311 enet 101311 1500 - - - - - 0 0 1941 enet 101941 1500 - - - - - 0 0 2901 enet 102901 1500 - - - - - 0 0 2906 enet 102906 1500 - - - - - 0 0 2907 enet 102907 1500 - - - - - 0 0 2908 enet 102908 1500 - - - - - 0 0 2923 enet 102923 1500 - - - - - 0 0 Remote SPAN VLANs ------------------------------------------------------------------------------ Primary Secondary Type Ports ------- --------- ----------------- ------------------------------------------ ------------------ show ip route summary ------------------ IP routing table name is default (0x0) IP routing table maximum-paths is 8 Route Source Networks Subnets Replicates Overhead Memory (bytes) connected 0 0 0 0 0 static 0 0 0 0 0 internal 0 20 Total 0 0 0 0 20 ------------------ show platform hardware acl statistics utilization brief ------------------ CAM Utilization Statistics -------------------------- Used Free Total -------------------------------- Input Security (160) 37 (1 %) 2011 (99 %) 2048 Input Security (320) 34 (1 %) 2014 (99 %) 2048 Input Forwarding (160) 7 (0 %) 2041 (100%) 2048 Input Forwarding (320) 24 (1 %) 2024 (99 %) 2048 Input Unallocated (160) 0 (0 %) 8192 (100%) 8192 Output Security (160) 6 (0 %) 2042 (100%) 2048 Output Security (320) 12 (0 %) 2036 (100%) 2048 Output Qos (160) 18 (0 %) 2030 (100%) 2048 Output Qos (320) 2 (0 %) 2046 (100%) 2048 Output Unallocated (160) 0 (0 %) 8192 (100%) 8192 Input Profiles (logical) : used 1 / 32 Input Profiles (physical): used 4 / 32 Output Profiles (logical) : used 1 / 32 Output Profiles (physical): used 3 / 32 ------------------ show platform hardware ip route summary ------------------ block# start end mode entries used free group type 0 160 Bit 0 4094 2048 0 2048 4 Dst 1 160 Bit 4096 8190 2048 0 2048 2 Src 2 Unused 8192 12287 4096 0 4096 - - 3 Unused 12288 16383 4096 0 4096 - - 4 Unused 16384 20479 4096 0 4096 - - 5 Unused 20480 24575 4096 0 4096 - - 6 Unused 24576 28671 4096 0 4096 - - 7 Unused 28672 32767 4096 0 4096 - - 8 Unused 32768 36863 4096 0 4096 - - 9 Unused 36864 40959 4096 0 4096 - - 10 Unused 40960 45055 4096 0 4096 - - 11 Unused 45056 49151 4096 0 4096 - - 12 Unused 49152 53247 4096 0 4096 - - 13 Unused 53248 57343 4096 0 4096 - - 14 Unused 57344 61439 4096 0 4096 - - 15 Unused 61440 65535 4096 0 4096 - - group# inUse mode type lookup entries free util% rangeId 0 yes 80 Bit uRPF Ipv4 Src 0 0 100 0 1 yes 160 Bit uRPF Ipv6 Src 0 0 100 1 2 yes 160 Bit SpecSrc Src 2048 2048 0 255 3 yes 80 Bit UC Ipv4 Dst 0 0 100 0 4 yes 160 Bit SpecDst Dst 2048 2048 0 255 5 yes 160 Bit MC Ipv4 Dst 0 0 100 1 6 yes 160 Bit UC Ipv6 Dst 0 0 100 2 7 yes 320 Bit MC Ipv6 Dst 0 0 100 3 range 0 [ipv4: 0.0.0.0 - ipv4: 255.255.255.255] 1 [ipv6: :: - ipv6: FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF] 2 [ipv4: 0.0.0.0 - ipv6: FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF] 3 [ipv4: 0.0.0.0 - ipv4: 223.255.255.255] 4 [ipv4: 0.0.0.0 - ipv6: FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF] 5 [ipv4: 224.0.0.0 - ipv4: 239.255.255.255] 6 [ipv6: :: - ipv6: FEFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF] 7 [ipv6: FF00:: - ipv6: FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF] blocks 0 (0) 1 (0) 2 (1) 1 3 (0) 4 (1) 0 5 (0) 6 (0) 7 (0) entity total used free util% Entries 61440 0 61440 0 uRPF Ipv4 0 0 0 0 uRPF Ipv6 0 0 0 0 UC Ipv4 0 0 0 0 MC Ipv4 0 0 0 0 UC Ipv6 0 0 0 0 MC Ipv6 0 0 0 0 SpecDst 2048 0 2048 0 SpecSrc 2048 0 2048 0 unused 57344 57344 0 100 # Parity errors: 0 # Parity errors for unused entries: 0 group 0: mode: 80 Bit type: uRPF Ipv4 lookupType: Src entries: 0 used:0 free: 0 range: [ipv4: 0.0.0.0 - ipv4: 255.255.255.255] psmRangeId: 0 provisonal: [null] group 1: mode: 160 Bit type: uRPF Ipv6 lookupType: Src entries: 0 used:0 free: 0 range: [ipv6: :: - ipv6: FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF] psmRangeId: 1 provisonal: [null] group 2: mode: 160 Bit type: SpecSrc lookupType: Src entries: 2048 used:0 free: 2048 range: [ipv4: 0.0.0.0 - ipv6: FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF] psmRangeId: 255 provisonal: [null] block: 1 (4096-8190) mode: 160 Bit entries: 2048 used: 0 free: 2048 group 3: mode: 80 Bit type: UC Ipv4 lookupType: Dst entries: 0 used:0 free: 0 range: [ipv4: 0.0.0.0 - ipv4: 223.255.255.255] psmRangeId: 0 provisonal: [null] group 4: mode: 160 Bit type: SpecDst lookupType: Dst entries: 2048 used:0 free: 2048 range: [ipv4: 0.0.0.0 - ipv6: FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF] psmRangeId: 255 provisonal: [null] block: 0 (0-4094) mode: 160 Bit entries: 2048 used: 0 free: 2048 group 5: mode: 160 Bit type: MC Ipv4 lookupType: Dst entries: 0 used:0 free: 0 range: [ipv4: 224.0.0.0 - ipv4: 239.255.255.255] psmRangeId: 1 provisonal: [null] group 6: mode: 160 Bit type: UC Ipv6 lookupType: Dst entries: 0 used:0 free: 0 range: [ipv6: :: - ipv6: FEFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF] psmRangeId: 2 provisonal: [null] group 7: mode: 320 Bit type: MC Ipv6 lookupType: Dst entries: 0 used:0 free: 0 range: [ipv6: FF00:: - ipv6: FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF:FFFF] psmRangeId: 3 provisonal: [null] FLC hardware parity scan enabled: true vfeTcamIfRxErrCheckEnabled: true vfeTcamIfRxErrCheckOperating: true FLC consistency checker enabled: false TCAM idle cycle config: before read: 4 after read: 4 before write: 0 after write: 1 ------------------ show platform hardware sdram spd ------------------ First Dimm Module SPD: 0x00: 0x80 0x08 0x08 0x0E 0x0A 0x00 0x48 0x00 0x05 0x30 0x45 0x02 0x82 0x08 0x08 0x00 0x10: 0x0C 0x04 0x38 0x02 0x10 0x04 0x03 0x3D 0x45 0x50 0x45 0x3C 0x1E 0x3C 0x2D 0x80 0x20: 0x20 0x27 0x10 0x17 0x3C 0x1E 0x1E 0x00 0x00 0x3C 0x69 0x80 0x18 0x22 0x0F 0x00 0x30: 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x00 0x13 0x20 0x40: 0x7F 0x40 0x00 0x00 0x00 0x00 0x00 0x00 0x01 0x56 0x52 0x35 0x57 0x52 0x36 0x34 0x50: 0x37 0x32 0x31 0x38 0x45 0x42 0x57 0x2D 0x53 0x47 0x20 0x20 0x20 0x09 0x34 0x09 0x60: 0x52 0x09 0x1B 0x56 0x49 0x4B 0x49 0x4E 0x47 0x5F 0x49 0x4E 0x54 0x45 0x52 0x57 0x70: 0x4F 0x52 0x4B 0x53 0xFF 0xFF 0xFF 0xFF 0xFF 0xFF 0xFF 0xFF 0xFF 0xFF 0x00 0x00 SPD revision: 1.3 Manufacture Part Number: VR5WR647218EBW-SG Sdram Size: 512 MB ------------------ dir crashinfo: ------------------ Directory of crashinfo:/ 1 -r-- 160 data 2 -r-- 0 data.bin No space information available ------------------ show platform crashdump ------------------ ========= Start of Crashinfo Collection ====================== Current Time: 3/28/2015 21:26:1 Last Power Failure: 01/21/2010 08:23:28 Last Reload Status: 00000000 Last Software Reset State: 00000201 ========= End of Crashinfo Collection ====================== ------------------ show platform software qm ------------------ Drop port Tx Queue allocations (Size: 8184, Base: 0x019008) CPU Subport Tx Queue allocations (TotalSize: 12704) -------------------------------- Phyport Tx Q Base Addr Entries ------- ---- --------- ------- 4 0 0x01B000 8 4 1 0x01B008 600 4 2 0x01B260 96 4 3 0x01B2C0 24 4 4 0x01B2D8 200 4 5 0x01B3A0 96 4 6 0x01B400 96 4 7 0x01B460 96 5 0 0x01B4C0 96 5 1 0x01B520 1000 5 2 0x01B908 96 5 3 0x01B968 96 5 4 0x01B9C8 96 5 5 0x01BA28 96 5 6 0x01BA88 96 5 7 0x01BAE8 96 6 0 0x01BB48 200 6 1 0x01BC10 96 6 2 0x01BC70 96 6 3 0x01BCD0 200 6 4 0x01BD98 200 6 5 0x01BE60 96 6 6 0x01BEC0 96 6 7 0x01BF20 128 7 0 0x01BFA0 200 7 1 0x01C068 200 7 2 0x01C130 200 7 3 0x01C1F8 8 7 4 0x01C200 8 7 5 0x01C208 8 7 6 0x01C210 8 7 7 0x01C218 8 8 0 0x01C220 200 8 1 0x01C2E8 200 8 2 0x01C3B0 200 8 3 0x01C478 200 8 4 0x01C540 200 8 5 0x01C608 200 8 6 0x01C6D0 200 8 7 0x01C798 200 9 0 0x01C860 200 9 1 0x01C928 200 9 2 0x01C9F0 200 9 3 0x01CAB8 200 9 4 0x01CB80 200 9 5 0x01CC48 200 9 6 0x01CD10 200 9 7 0x01CDD8 200 10 0 0x01CEA0 304 10 1 0x01CFD0 304 10 2 0x01D100 304 10 3 0x01D230 304 10 4 0x01D360 304 10 5 0x01D490 304 10 6 0x01D5C0 304 10 7 0x01D6F0 304 11 0 0x01D820 304 11 1 0x01D950 304 11 2 0x01DA80 304 11 3 0x01DBB0 304 11 4 0x01DCE0 304 11 5 0x01DE10 304 11 6 0x01DF40 304 11 7 0x01E070 304 Global TX Queue reservations ---------------------------- Slot Size Base Addr Current Unused Addr Entries ---- ---- --------- ------- ------- 0 133664 0x01E1A0 0x033DA0 44576 1 133664 0x03EBC0 0x05F5C0 32 2 133664 0x05F5E0 0x05F5E0 133664 Superport Tx Queue space distribution ------------------------------------- Superport Slot Percent Base Addr End Addr Entries --------- ---- ------- --------- -------- ------ 16 1 10 0x03EBC0 0x042CD0 16700 17 1 10 0x042D00 0x046E10 16700 18 1 10 0x046E40 0x04AF50 16700 19 1 10 0x04AF80 0x04F090 16700 24 1 10 0x04F0C0 0x0531D0 16700 25 1 10 0x053200 0x057310 16700 26 1 10 0x057340 0x05B450 16700 27 1 10 0x05B480 0x05F590 16700 29 0 0 0x0255A0 0x0275A8 14843 30 0 0 0x028FA0 0x02AFA8 14843 52 0 0 0x021BA0 0x023BA8 14843 57 0 0 0x01E1A0 0x0201A8 14843 59 0 0 0x02C9A0 0x02E9A8 14843 60 0 0 0x0303A0 0x0323A8 14843 Free Reserve allocations ------------------------ Number of Chunks: 200 Chunk size: 512 bytes Largest Contiguous Chunks Block remaining: 200 Free Reserve Base Addr: 0x8 K5Phyport Chunk Start Chunk End Size --------- ----------- --------- ---- None 0 199 200 ------------------ show crypto key mypubkey rsa ------------------ ------------------ show buffers ------------------ Buffer elements: 683 in free list 62162 hits, 0 misses, 617 created Public buffer pools: Small buffers, 104 bytes (total 50, permanent 50, peak 182 @ 04:40:56): 50 in free list (20 min, 150 max allowed) 4587 hits, 44 misses, 132 trims, 132 created 0 failures (0 no memory) Middle buffers, 600 bytes (total 37, permanent 25, peak 76 @ 04:40:54): 19 in free list (10 min, 150 max allowed) 6711 hits, 21 misses, 51 trims, 63 created 0 failures (0 no memory) Big buffers, 1536 bytes (total 50, permanent 50, peak 87 @ 04:40:06): 50 in free list (5 min, 150 max allowed) 18651 hits, 12 misses, 37 trims, 37 created 0 failures (0 no memory) VeryBig buffers, 4520 bytes (total 10, permanent 10, peak 11 @ 04:40:58): 9 in free list (0 min, 100 max allowed) 1 hits, 0 misses, 1 trims, 1 created 0 failures (0 no memory) Large buffers, 5024 bytes (total 1, permanent 0, peak 1 @ 04:42:08): 1 in free list (0 min, 10 max allowed) 0 hits, 0 misses, 1 trims, 2 created 0 failures (0 no memory) Huge buffers, 18024 bytes (total 1, permanent 0, peak 1 @ 04:42:08): 1 in free list (0 min, 4 max allowed) 10 hits, 0 misses, 1 trims, 2 created 0 failures (0 no memory) Interface buffer pools: CF Small buffers, 104 bytes (total 101, permanent 100, peak 101 @ 04:42:08): 101 in free list (100 min, 200 max allowed) 0 hits, 0 misses, 1 trims, 2 created 0 failures (0 no memory) CF Middle buffers, 600 bytes (total 101, permanent 100, peak 101 @ 04:42:08): 101 in free list (100 min, 200 max allowed) 0 hits, 0 misses, 1 trims, 2 created 0 failures (0 no memory) Syslog ED Pool buffers, 600 bytes (total 133, permanent 132, peak 133 @ 04:40:56): 101 in free list (132 min, 132 max allowed) 514 hits, 0 misses CF Big buffers, 1536 bytes (total 26, permanent 25, peak 26 @ 04:42:08): 26 in free list (25 min, 50 max allowed) 0 hits, 0 misses, 1 trims, 2 created 0 failures (0 no memory) IPC buffers, 4096 bytes (total 2, permanent 2): 1 in free list (1 min, 8 max allowed) 1 hits, 0 fallbacks, 0 trims, 0 created 0 failures (0 no memory) CF VeryBig buffers, 4520 bytes (total 3, permanent 2, peak 3 @ 04:42:08): 3 in free list (2 min, 4 max allowed) 0 hits, 0 misses, 1 trims, 2 created 0 failures (0 no memory) CF Large buffers, 5024 bytes (total 2, permanent 1, peak 2 @ 04:42:08): 2 in free list (1 min, 2 max allowed) 0 hits, 0 misses, 1 trims, 2 created 0 failures (0 no memory) IPC Medium buffers, 16384 bytes (total 2, permanent 2): 2 in free list (1 min, 8 max allowed) 0 hits, 0 fallbacks, 0 trims, 0 created 0 failures (0 no memory) IPC Large buffers, 65535 bytes (total 17, permanent 16, peak 17 @ 04:42:08): 17 in free list (16 min, 16 max allowed) 0 hits, 0 misses, 282 trims, 283 created 0 failures (0 no memory) Header pools: Catalyst 4000 buffers, 0 bytes (total 14622, permanent 14622): 14619 in free list (0 min, 14623 max allowed) 138942 hits, 0 misses, 0 trims, 0 created 0 failures (0 no memory) ------------------ show buffers usage ------------------ Statistics for the Small pool Number of Buffers used by packets generated by system: 50 Number of Buffers used by incoming packets: 0 Statistics for the Middle pool Output IDB : Vl1941 count: 16 Caller pc : 0x1155C8A0 count: 17 Number of Buffers used by packets generated by system: 37 Number of Buffers used by incoming packets: 0 Statistics for the Big pool Number of Buffers used by packets generated by system: 50 Number of Buffers used by incoming packets: 0 Statistics for the VeryBig pool Caller pc : 0x117E2A24 count: 1 Number of Buffers used by packets generated by system: 10 Number of Buffers used by incoming packets: 0 Statistics for the Large pool Number of Buffers used by packets generated by system: 1 Number of Buffers used by incoming packets: 0 Statistics for the Huge pool Number of Buffers used by packets generated by system: 1 Number of Buffers used by incoming packets: 0 Statistics for the CF Small pool Number of Buffers used by packets generated by system: 101 Number of Buffers used by incoming packets: 0 Statistics for the CF Middle pool Number of Buffers used by packets generated by system: 101 Number of Buffers used by incoming packets: 0 Statistics for the Syslog ED Pool pool Caller pc : 0x10E71B9C count: 32 Number of Buffers used by packets generated by system: 133 Number of Buffers used by incoming packets: 0 Statistics for the CF Big pool Number of Buffers used by packets generated by system: 26 Number of Buffers used by incoming packets: 0 Statistics for the IPC pool Caller pc : 0x11344858 count: 1 Number of Buffers used by packets generated by system: 2 Number of Buffers used by incoming packets: 0 Statistics for the CF VeryBig pool Number of Buffers used by packets generated by system: 3 Number of Buffers used by incoming packets: 0 Statistics for the CF Large pool Number of Buffers used by packets generated by system: 2 Number of Buffers used by incoming packets: 0 Statistics for the IPC Medium pool Number of Buffers used by packets generated by system: 2 Number of Buffers used by incoming packets: 0 Statistics for the IPC Large pool Number of Buffers used by packets generated by system: 17 Number of Buffers used by incoming packets: 0 Statistics for the Catalyst 4000 pool Number of Buffers used by packets generated by system: 14622 Number of Buffers used by incoming packets: 0 Statistics for the FS Header pool Caller pc : 0x11F541AC count: 1 Number of Buffers used by packets generated by system: 2 Number of Buffers used by incoming packets: 0 Statistics for the SW Crypto Header pool Caller pc : 0x11C99664 count: 1 Number of Buffers used by packets generated by system: 2 Number of Buffers used by incoming packets: 0 ------------------ show inventory ------------------ NAME: "Switch System", DESCR: "Cisco Systems, Inc. WS-C4503-E 3 slot switch " PID: WS-C4503-E , VID: V01 , SN: SPE135100L1 NAME: "Supervisor(slot 1)", DESCR: "Supervisor 6L-E 10GE (X2), 1000BaseX (SFP) with 2 10GE X2 ports" PID: WS-X45-SUP6L-E , VID: V01 , SN: JAE14020672 NAME: "Converter 1/1", DESCR: "Converter Module" PID: CVR-X2-SFP , VID: V02 , SN: FDO13480NT0 NAME: "GigabitEthernet1/3", DESCR: "1000BaseSX" PID: Unspecified , VID: , SN: AGA134111G0 NAME: "GigabitEthernet1/4", DESCR: "1000BaseSX" PID: Unspecified , VID: , SN: AGM135012B0 NAME: "Converter 1/2", DESCR: "Converter Module" PID: CVR-X2-SFP , VID: V02 , SN: FDO13480NNM NAME: "Linecard(slot 2)", DESCR: "10/100/1000BaseT (RJ45)+V E Series with 48 10/100/1000 baseT Premium PoE ports (Cisco/IEEE)" PID: WS-X4648-RJ45V+E , VID: V05 , SN: JAE14020HCM NAME: "FanTray 1", DESCR: "FanTray" PID: WS-X4593-E , VID: V02 , SN: SPE135100D7 NAME: "Power Supply 1", DESCR: "Power Supply ( AC 1300W )" PID: PWR-C45-1300ACV , VID: V05 , SN: DTM135201CC ------------------ show region ------------------ Region Manager: Start End Size(b) Class Media Name 0x10000000 0x2FFFFFFF 536870912 Local R/W main 0x10000000 0x13870A1F 59181600 IText R/O main:text 0x13870A20 0x14CC98B3 21335700 IData R/W main:data 0x14CC98B4 0x15AC006F 14641084 IBss R/W main:bss 0x15AC0074 0x2FFFFFFB 441712520 Local R/W main:heap Free Region Manager: Start End Size(b) Class Media Name ------------------ Mempool statistics ------------------ Head Total(b) Used(b) Free(b) Lowest(b) Largest(b) Processor 15AC0074 441712520 211041748 230670772 229373428 229822196 -------------- Top 100 allocator pc summary ----------- Allocator PC Summary for: Processor Displayed first 2048 Allocator PCs only PC Total Count Name 0x11F9D7E8 31360716 202 Init 0x1228DCC4 30933104 2 Routekernel Process 0x1251DA7C 20054068 1 Routekernel Process 0x13841494 17968932 17 TSM Block 0x1035CD6C 17726500 15125 *Packet Header* 0x11F59D98 8793308 257 Interrupt Stack 0x1205C754 7864476 3 Init 0x121C3378 6181040 2 Init 0x1251DAF8 3342388 1 Routekernel Process 0x138438A8 2130772 7 Init 0x11F9FF10 2097220 1 *Init* 0x12273020 1835172 1 Init 0x126FE5B8 1734456 6 Routekernel Process 0x122618B4 1724468 1 Routekernel Process 0x11B36378 1662536 26 XOS_MEM_UTILS 0x1035CDD0 1649228 536 *Packet Data* 0x1134B344 1573920 24 IPC Message Hea 0x123923CC 1572916 1 Routekernel Process 0x122DB520 1359748 1 Init 0x12593AB0 1327156 1 Init 0x11F507AC 1171648 16384 Init 0x135CE5AC 1163264 4096 Init 0x11FC61D4 1115280 1 Init 0x1233CC2C 1058868 1 Routekernel Process 0x13675CFC 1048712 1 Init 0x1235BB4C 1048628 1 Init 0x123ADDC8 1048628 1 Init 0x11F50740 983288 2 Cat4k Mgmt HiPri 0x124A1DFC 950292 419 Routekernel Process 0x107DDD68 903020 2 session 0x1247AFE4 819840 3360 Routekernel Process 0x1239238C 786488 1 Routekernel Process 0x12349738 786484 1 Routekernel Process 0x10193D14 720140 2 mfib_linecard 0x12301170 704632 2 Init 0x11A78128 616688 52 TW Buckets 0x1385A504 542764 81 Init 0x122AEE98 524400 2 Init 0x1234CFD0 524340 1 Init 0x11FA14E4 462236 9 Init 0x109ADC60 400140 2 mfib_events 0x1134B4D0 397800 3183 IPC Global Info 0x1117C5A8 394912 67 Virtual Exec 0x102B8794 365344 4287 State Machine debug data 0x1035E9E4 361512 10 pak subblock chunk 0x12264098 344300 1 Routekernel Process 0x135CE56C 320628 231 Init 0x1380D124 315052 1 Init 0x121FA458 314348 1 Init 0x1386C79C 309284 167 Init 0x102B8728 292500 4287 State Machine debug meta data 0x101D5218 291732 3215 *Init* 0x1115BA3C 276480 3 event_trace_tbs 0x1213E930 262392 1 Init 0x1223D0A8 246780 15 Init 0x135C65C0 240508 1336 Routekernel Process 0x12252724 238196 1 Init 0x10E17930 232140 2 tunnel 0x124D64C0 229804 787 Init 0x10369C9C 222952 62 *Hardware IDB* 0x121D38A8 219068 1 Init 0x11F502B4 216044 1 Init 0x10C1E4CC 204000 3000 *Init* 0x11AC20C4 201024 255 Process 0x1233AFC8 196660 1 Init 0x121FA520 182548 1 Init 0x124A1C84 171600 660 Routekernel Process 0x11FFF8D4 165040 1 Init 0x124FDD64 163892 1 Routekernel Process 0x11B305BC 161672 10 Redundancy Event Chunks 0x107DDDF0 158980 2 platf 0x107DDE7C 150620 2 table 0x123C013C 147548 1 Init 0x124A1C28 141700 65 Routekernel Process 0x10B3C13C 140140 2 checkpoint 0x10C09B90 140140 2 redundancy 0x11F26954 137060 55 Port Counter Info 0x124A1CD0 132216 787 Routekernel Process 0x121FA4C4 131396 1 Init 0x136794B8 131208 1 Init 0x12301158 131184 2 Init 0x103663D4 131116 1 *Init* 0x1367C468 128136 1 Init 0x11A83684 119880 567 *Init* 0x138442D0 105924 626 Cat4k Mgmt HiPri 0x1250D308 104500 1 Routekernel Process 0x121BC938 98356 1 Init 0x121BC9E8 98356 1 Init 0x12256578 98356 1 Routekernel Process 0x11E16E50 88224 262 *Init* 0x10369C64 86552 62 *Software IDB* 0x13689A3C 81972 1 Init 0x11F50260 80044 1 Init 0x11FC61F8 76668 1 Init 0x121D38D4 76648 1 Init 0x12252740 73980 1 Init 0x10C0E454 73932 2 Redundancy Even 0x10976F18 69164 1 Init 0x1134B364 68424 3 IPC Split Init Func Cache 0x122213A4 68036 1 Init